CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - keyboard in vhdl

搜索资源列表

  1. scan_LED

    0下载:
  2. 1) 输入设备为4*4矩阵键盘,分别代表0~F; 2) 输出设备为四位数码显示管,初始值显示0000,当按下某一键时,最右边的一位数码显示管显示最新一次所按按键的数值,而之前的显示值左移,例如,第一次按‘1’键,则显示0001;第二次按‘3’键,则显示0013;第三次按‘5’键,则显示0135;第四次按‘7’键,则显示1357;第五次按‘9’键,则显示3579,第四次按‘F’键,则显示579F-1) input device for the 4* 4 matrix keyboard,
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:199845
    • 提供者:王广玉
  1. calculator

    3下载:
  2. 用VHDL在quartus2下实现的计算器。输入为4*4矩阵键盘,输出为共用数据线的数码管。可以实现简单数学运算、逻辑运算、进制转换、连续运算等功能。-Using VHDL in quartus2 achieve calculator. Input 4* 4 matrix keyboard, the output data lines for sharing of digital control. Can achieve a simple mathematical operations, log
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1276791
    • 提供者:jizhen
  1. jiyu-FPGA-dianziqin

    0下载:
  2. 1) 主芯片:Altera 的FLEX10K20TC144-4 STC89C58RD+。 2) 要求扩展键盘接口电路,可以实现电子琴的一般功能,进行乐曲的手动演奏,此外还应该具有存储功能,可以将演奏的乐曲进行存储并在人工控制下进行回放。 3) 完成系统方案设计。 4) 编制相应的VHDL程序并进行相应的仿真工作,完成系统的调试工作。 5) 编写51系统程序,完成初始化、系统控制等功能。 6) 利用51系统实现系统的在线配置。 7) 发挥部分 可以进行乐曲的自动演奏。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:68339
    • 提供者:任大志
  1. ps_2_keyboard

    0下载:
  2. 用VHDL语言编写的ps2键盘程序,可以在de2实验板上运行。初学者适用-VHDL language with the ps2 keyboard program, you can experiment in the de2 board to run. For beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1049720
    • 提供者:dingxing
  1. ps2

    0下载:
  2. 采用sopc技术,nios2ide开发环境,实现nios对ps2键盘的控制,按键讲ascii码显示在led上-Using sopc technology, nios2ide development environment to achieve nios right ps2 keyboard control, key speakers led the ascii code is displayed in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8153203
    • 提供者:蹇清平
  1. S9_PS2_LCD

    0下载:
  2. 键盘输入液晶模块显示字符,在液晶显示屏上显示从PS2键盘输入的字符-Keyboard input LCD display module characters displayed in the LCD screen from the PS2 keyboard input characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:766503
    • 提供者:zl.yin
  1. ps2_keyboard

    0下载:
  2. 这是一个键盘显示的工程,可以通过在键盘上输入任意一个值,然后在板上的LCD上显示出来。-This is a keyboard display of works is available through the keyboard input any value, and then in the LCD panel on the show.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1067051
    • 提供者:于小燕
  1. lcd

    0下载:
  2. 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:1053571
    • 提供者:luojicheng
  1. Keyboard

    0下载:
  2. Keyboard part of the source code in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1896
    • 提供者:zhangpeng
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. shukongzhiliudianyuan

    2下载:
  2. 介绍了一种闭环智能数控直流电流源的设计原理和实施方案,该方案采用自行设计制作的高精度电压源,利用单片机、PWM和运算放大器构成A/DD/A转换器来控制场效应管导通状态的原理,达到了输出恒流的目的。整个系统采用89C58单片机作为主控部件,将预置电流值数据送入D/A转换器,经硬件电路变换为恒定的直流输出,同时使用采样电阻将实际输出电流转换成电压送入A/D转换器,并将其反馈到单片机中构成闭环系统,进而实现预设值和实际值的比较,再通过调整D /A转换器输出的电压来改变场效应管的导通状态,减小了实际值与
  3. 所属分类:SCM

    • 发布日期:2015-07-08
    • 文件大小:2234
    • 提供者:zhendongzhao
  1. VHDL

    0下载:
  2. 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2404
    • 提供者:qiumh
  1. keyboardcontroller

    0下载:
  2. Keyboard controller code in vhdl of good use
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-24
    • 文件大小:15080
    • 提供者:Anand Krishna
  1. ch5

    0下载:
  2. 如何以DSP讀取鍵盤輸入 ASC2碼且將輸入的值是在LCD上-How to read keyboard input in DSP code ASC2 and the value of the input on the LCD
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:843870
    • 提供者:123
  1. CPLD_KEYBOARD

    0下载:
  2. 本设计是用VHDL语言来实现的基于RS232按位串行通信总线的行列式矩阵键盘接口电路,具有复位和串行数据的接收与发送功能,根据发光二极管led0—led2的显示状态可判断芯片的工作情况;实现所有电路功能的程序均是在美国 ALTERA公司生产的具有现场可编程功能的芯片EPM7128SLC84-15上调试通过的。该电路的设计贴近生活,实用性强,制成芯片后可作为一般的PC机键盘与主机的接口使用。 -The design is based on VHDL language to achieve
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:67450
    • 提供者:jalon
  1. KeyBoard

    0下载:
  2. 应用VHDL编写的基于FPGA的矩阵键盘程序-Applications written in VHDL FPGA-based matrix keyboard program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:335370
    • 提供者:紫云
  1. ISE_lab19

    0下载:
  2. 基于VHDL语言编写的俄罗斯方块游戏,由VGA接口和电脑显示器显示,用PS2键盘操作控制。-Written in VHDL-based Tetris game, by the VGA interface and a computer display, with a PS2 keyboard control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3849750
    • 提供者:大机子
  1. keyboard

    0下载:
  2. 用VHDL硬件描述语音实现键盘控制操作,该代码在FPGA中经过了严格的运行调式-With VHDL keyboard control realization of hardware descr iption speech, the code in the FPGA through strict operation mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:505237
    • 提供者:jiajinying
  1. ps2-keyboard

    0下载:
  2. PS2 KEYBIARD interfacing with lcd 2X16-PS2 KEYBIARD interfacing with lcd 2X16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1689478
    • 提供者:mahdi
  1. key_music

    0下载:
  2. 简易硬件电子琴 在开发板上实现一个简易电子琴,按下KEY1~KEY7 分别表示中音的DO、 RE、MI、FA、SOL、LA、SI 按住KEY8 再按KEY1~KEY7 分别表示高音的 DO、RE、MI、FA、SOL、LA、SI。通过这个实验,掌握利用蜂鸣器和按键 设计硬件电子琴的方法。-Simple hardware keyboard In the development of board achieve a simple keyboard, press KEY1 ~ KEY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:10734
    • 提供者:罗文
« 12 3 »
搜珍网 www.dssz.com