CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - leon2

搜索资源列表

  1. leon2-1.0.30-xst.tar

    2下载:
  2. Leon2 CPU VHDL Source Code 欧洲航天局资助开发的LEON CPU,源码遵循GPL -Leon2 CPU VHDL Source Code European Space Agency funded the development of LEON CPU, followed source GPL
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:1398134
    • 提供者:笑雨
  1. leon2

    0下载:
  2. //Java实现FTP客户端功能 //这是一个用Java实现FTP客户端的例子,还算使用,放上来供大家参考。 // Java实现FTP功能源代码: -/ / Java FTP client features / / This is a realization of Java FTP client examples still use Fangshanglai for your reference. / / Java source code FTP functions :
  3. 所属分类:Ftp服务器

    • 发布日期:2008-10-13
    • 文件大小:3981
    • 提供者:leonyork
  1. leon2-1[1].0.2a

    1下载:
  2. leon微处理器源代码,航空专用,功能强劲。包括详细说明-leon microprocessor source code, air flow, a strong function. Include a detailed descr iption of
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:919516
    • 提供者:王 一
  1. bcc-src-1.0.29c

    1下载:
  2. 最新版的BCC, bcc-src-1.0.29c.rar,基于sparc平台处理器leon2,leon3,v7,v8等系列的linux环境下交叉编译工具
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:3054133
    • 提供者:邓长海
  1. SIS

    0下载:
  2. leon2的指令模拟器。leon是应用于航天领域的一款高可靠性的sparc v7指令集的处理器。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:87966
    • 提供者:gubaogang
  1. leon

    0下载:
  2. leon by ESA
  3. 所属分类:源码下载

    • 发布日期:2011-09-18
    • 文件大小:942978
    • 提供者:mfkiass@163.com
  1. sha-1.rar

    0下载:
  2. 本算法基于leon2协处理器接口标准,内含testbench,在modelsim中仿真通过,在ise9.2中综合及后仿真通过。,The algorithm is based on the leon2 co-processor interface standard, including testbench, ModelSim simulation in the adoption, in ise9.2 integrated and adopted after the simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:15430
    • 提供者:ninghuiming
  1. leon-2.2.tar.gz

    0下载:
  2. 宇航级微处理器LEON2 2.2 VHDL源代码,很难找的.,Aerospace-grade microprocessor LEON2 2.2 VHDL source code, it is difficult to find.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:379559
    • 提供者:Jackson
  1. leon2-1.0.20-xst.tar

    0下载:
  2. 所属分类:Other systems

    • 发布日期:2017-11-09
    • 文件大小:2116746
    • 提供者:大为
  1. LEON2FPGA

    0下载:
  2. 基于LEON2和FPGA的在轨图像小波变换模块设计-FPGA-based LEON2 and wavelet transform in the orbit module design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:301704
    • 提供者:荣超群
  1. Leon2

    0下载:
  2. 基于Leon2的安全应用配置方案及验证 基于Leon2的安全应用配置方案及验证-Security applications based on Leon2 configuration and validation of security applications based on Leon2 configuration and validation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:271845
    • 提供者:荣超群
  1. LEON2_Nocache

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:469250
    • 提供者:hamed
  1. leon2-1[1].0.2a

    0下载:
  2. grlib源码,包含有设计实例以及工艺库,适合Leon未处理器的学习-grlib source, includes design examples and technology library, the processor is not suitable for learning Leon
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:942978
    • 提供者:王翔
  1. rtems_sparc-leon2

    0下载:
  2. rtems实时操作系统sparc leon2处理器的bsp代码分析文档。包括rtems在sparc leon2处理器上系统启动、任务切换、中断响应、硬件驱动(定时器、串口等)等代码模块的分析。-The document of rtems(RTOS) bsp code on sparc leon2 processor, including system startup、task switching、interrupt handling、device drivers(timer、serial por
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-09
    • 文件大小:1412409
    • 提供者:cyb
  1. cach

    0下载:
  2. LEON2中cach部分VHDL代码 需要完整的请联系我-LEON2 VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:18651
    • 提供者:
  1. leon2

    0下载:
  2. leon处理器代码,能正确通过design compiler,quartus的综合。-leon handler code, design compiler, quartus integrated properly adopted.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:341020
    • 提供者:Collins
  1. erc32

    0下载:
  2. ERC32源码VHDL 同时包括了leon2的VHDL源码(VHDL code of erc32 and the source code of leon2)
  3. 所属分类:微处理器开发

    • 发布日期:2018-01-02
    • 文件大小:16822272
    • 提供者:sunrihui
搜珍网 www.dssz.com