CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - multisim

搜索资源列表

  1. 二进制串行-1计数器

    0下载:
  2. 大学计算机数字逻辑实验作业 用Multisim仿真软件编写 计数器 用双D触发器74Ls74构成四位二进制串行计数器 二分频计数原理-University computer digital logic operations using Multisim experimental simulation software used to prepare counter-D Trigger 74Ls74 constitute four serial binary frequency counter t
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:27866
    • 提供者:赵传仕
  1. Circuit4

    0下载:
  2. multisim电路例程-Multisim circuit routines
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:194449
    • 提供者:chenhua
  1. multusimfangzhenshili

    0下载:
  2. 此压缩包给出了一些利用multisim仿真的模拟电路的仿真实例-package of compressed gives some simulation using Multisim circuit simulation Simulations
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3200933
    • 提供者:msh
  1. singenerator

    0下载:
  2. 正弦信号的电子电路multisim设计 已经通过测试-sinusoidal signals in the design of electronic circuits Multisim has passed the test
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:19704
    • 提供者:asdf
  1. Multisim_circle

    0下载:
  2. Multisim 8可以将不同类型的电路组成混合电路进行仿真,界面直观,操作方便。本文先研究Multisim 8的操作方法及其应用实例。之后分别根据交通灯系统和出租车计价器系统的设计要求提出其改进的系统实施方案。然后根据两系统的改进方案,分别设计其数据子系统和控制子系统,并画出实现的电原理图,其中部分模块用VHDL语言实现。最后在Multisim 8中进行仿真,验证两系统的改进方案均正确实现了各自设计要求中的诸项功能。-Multisim 8 will be different types of
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:7665547
    • 提供者:
  1. Circuit1

    0下载:
  2. 放大器仿真,用multisim软件做的,能用观察到示波器的波形-Amplifiers simulation, Multisim with the software so they can observe the oscilloscope waveform
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:22600
    • 提供者:linzi
  1. Multisim 2001软件学习指导

    0下载:
  2. 随着计算机技术的快速发展,利用计算机软件进行的虚拟测试技术已经广泛应用到数字电子技术的辅助教学与实践教学中中。采用计算机虚拟测试技术具有以下优点:(1)不受实训设备和实训时间的限制;(2)可随时随地灵活地应用到课堂教学中,及时将实验现象直观地演示给学生。(3)可祢补实训设备不全造成的影响,节约经费,且有一些功能是实际仪器所不具备的。
  3. 所属分类:咨询培训

    • 发布日期:2014-01-17
    • 文件大小:477072
    • 提供者:yjk
  1. 用继电器控制“警灯”闪动的电路

    0下载:
  2. 这是在Multisim下做的一个仿真,请在multisim下打开-This is done under the Multisim a simulation, please open the Multisim
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:22078
    • 提供者:黄歆
  1. Keil+proteus

    0下载:
  2. Proteus6.7是目前最好的模拟单片机外围器件的工具,真的很不错。可以仿真51系列、AVR,PIC等常用的MCU及其外围电路(如LCD,RAM,ROM,键盘,马达,LED,AD/DA,部分SPI器件,部分IIC器件,...) 其实proteus与multisim比较类似,只不过它可以仿真MCU!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:22616049
    • 提供者:罗晟
  1. MultisimDirections

    0下载:
  2. 《Multisim使用说明》 本文档包括入门指导,user guide等内容,向您介绍Multisim界面以及Multisim的各项功能。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:642751
    • 提供者:chenli
  1. bustaillight

    1下载:
  2. 这个是用multisim编写的一个汽车尾灯装置,与网上的尾灯实现功能不一样。是按照111,011,001,000循环控制的设置。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:98412
    • 提供者:韩飞
  1. Multisim2001

    0下载:
  2. Multisim 2001电路设计及仿真入门与应用 着重介绍基于电路级的仿真与软件设计——Multisim 2001的仿真与设计功能和基本操作方法。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:33053330
    • 提供者:020
  1. MultisimAdd

    2下载:
  2. 这是一个用multisim编写的用8421BCD码表示的两个一位十进制数相加的加法器
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:320109
    • 提供者:刘勇
  1. MultisimTimer

    1下载:
  2. 这是一个用multisim编写的时钟,主要由芯片级联而成
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1180256
    • 提供者:刘勇
  1. jingmizhengliu

    0下载:
  2. 运算放大器精密整流,基于NI的multisim的
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:62352
    • 提供者:常兴
  1. 基于Multisim的调频发射机

    3下载:
  2. 调频发射机制作说明Multisim平台仿真(Explanation of FM transmitter)
  3. 所属分类:文章/文档

    • 发布日期:2020-01-02
    • 文件大小:411648
    • 提供者:黄安
  1. 模电multisim仿真实例

    0下载:
  2. 模拟和数字电子线路的仿真,可应用与单片机外围电路的设计(The simulation of analog electronic circuit and its application in the design of peripheral circuit of single chip computer.)
  3. 所属分类:硬件设计

    • 发布日期:2020-12-09
    • 文件大小:15698944
    • 提供者:zhangyang40
  1. 数字时钟高级版

    1下载:
  2. Digital clock advanced version, Multisim code
  3. 所属分类:其他

    • 发布日期:2020-05-19
    • 文件大小:317440
    • 提供者:johnzjz
  1. 3.Multisim 仿真实例120个

    3下载:
  2. 120个实例学习,解决问题 好好学学 有很大用处,推荐给大家(There are 120 examples to learn. It's very useful to learn the ending problem well. I recommend it to you)
  3. 所属分类:其他

  1. 577d4aa3

    1下载:
  2. 交通灯课程设计:本设计是基于数字电路芯片完成的,内有Multisim仿真电路(已验证通过),具体设计说明书,基本思路采用74LS160十进制加法计数器来产生四种交通灯状态,计数器是由多功能计数器555产生的1Hz的秒脉冲驱动,希望能对你有所帮助。(Traffic light course design: This design is based on the digital circuit chip, with Multisim Simulation circuit (verified), th
  3. 所属分类:其他

    • 发布日期:2021-04-04
    • 文件大小:471040
    • 提供者:sc303030
« 1 2 3 4 5 6 78 9 10 11 12 ... 18 »
搜珍网 www.dssz.com