CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - music in verilog

搜索资源列表

  1. Music_altera

    0下载:
  2. 采用Verilog HDL设计,在Altera EP1S10S780C6开发板上实现 选取6MHz为基准频率,演奏的是梁祝乐曲 - Uses Verilog the HDL design, development board realizes in Altera on the EP1S10S780C6 selects 6MHz is the datum frequency, the performance is Liang wishes the music
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:652415
    • 提供者:huhu
  1. beep

    0下载:
  2. 用CPLD驱动扬声器实现音乐的播放,程序是用VERILOG写的,-CPLD driver speakers with music player, the program is written in VERILOG,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:262401
    • 提供者:wagjur
  1. Music_LiangZhu

    0下载:
  2. FPGA音乐试验,语言:verilog HDL-A FPGA expperientation which can play music Liangzhu,language:verilog HDL
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-25
    • 文件大小:289816
    • 提供者:CQJ
  1. DE2_SD_Card_Audio(Modified)

    0下载:
  2. 在DE2开发板上实现的SD卡mp3音乐播放器。硬件部分用Verilog语言编写,在Quartus上编译;软件部分用C语言编写,在Nios2上编译运行。-DE2 development board in the realization of the SD card mp3 music player. Hardware using Verilog language, compiled in the Quartus software with C language, compiled to run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:3018259
    • 提供者:符玉襄
  1. DE2_SD_Card_Audio

    0下载:
  2. 在DE2实现SD卡音乐播放器 编写语言verilog-In the DE2 SD Card music player to achieve the preparation of language verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1601001
    • 提供者:吴鹏
  1. music

    0下载:
  2. 用FPGA实现的歌曲“梁祝”播放程序,用Verilog HDL编写-FPGA implementation with the song " Butterfly Lovers" player, written with Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:312796
    • 提供者:谭德
  1. music_player

    0下载:
  2. 用verilog编写的音乐播放器,内置3首歌-Music player written in Verilog, built-in 3-song
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5390556
    • 提供者:史发更
  1. music_player

    1下载:
  2. 用Verilog语言在FPGA上实现了音乐播放这一功能。预先将音乐《北京欢迎你》转换保存到FPGA的ROM中,由设计的音乐播放器按时序读出数据,予以播放。-Using Verilog language in FPGA realize the function of playing music.The music of "welcome to Beijing" was transformed and saved in FPGA ROM, the data was read by music pl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:730193
    • 提供者:姜伟
  1. Music_Player

    0下载:
  2. 基于Verilog的音乐播放器程序,在Modelsim6.5上仿真通过并可以在开发板上运行-Verilog-based music player program, in Modelsim6.5 through simulation and can be run on the development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2369715
    • 提供者:朱恒
  1. liangzhu

    0下载:
  2. 采用verilog hdl设计的音乐播放器,梁祝,在红色飓风2上测试通过。-Using verilog hdl designed music player, Butterfly in Red Hurricane 2 on the test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1662
    • 提供者:xzb
  1. eda

    0下载:
  2. 用verilog硬件描述语言编写的电子琴工程,实现手动弹奏21个音符,自动播放内置音乐,在显示器上模拟显示按键等功能。-Using verilog hardware descr iption language organ works, play 21 notes for manual, automatic built-in music player, analog display buttons on the monitor and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1264063
    • 提供者:好机会
  1. PWM_music

    0下载:
  2. 在altera的FPGA平台上,使用verilog语言实现蜂鸣器的音乐,内含乐谱理论和verilog实现的FPGA奏乐代码与工程,已经测试通过,可以直接下载到FPGA运行,蜂鸣器播放音乐。-In the Altera FPGA platform, using Verilog language to achieve the buzzer music, FPGA music code and engineering including music theory and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:675294
    • 提供者:汪少锋
  1. music.tar

    0下载:
  2. Verilog example of a program that plays some tones when connected to a speaker. Implemmented in FPGA Nexys3
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:212495
    • 提供者:yunacu
  1. circle-of-music

    0下载:
  2. 基于FPGA的音乐播放,通过录入音乐的音符,在FPGA开发板上实现播放,verilog代码完整-FPGA-based music player, music notes by entry in the FPGA development board to achieve broadcast, verilog code integrity
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:536862
    • 提供者:wxl
  1. Buzzer-music

    0下载:
  2. 基于FPGA实现蜂鸣器播放音乐的功能 使用芯片为EP2C8Q208C8N,使用普通蜂鸣器,由于频率不同可实现放歌功能,本例设计的是《友谊地久天长》,使用Verilog语言编程,本例子有工程文件、仿真、波形,经过测试可以使用。-Play music based on FPGA buzzer functions using chip EP2C8Q208C8N, using ordinary buzzer, since the frequency of different functions ca
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-09-26
    • 文件大小:1435648
    • 提供者:陈怡然
搜珍网 www.dssz.com