CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - mux 4x1

搜索资源列表

  1. mux4x1

    0下载:
  2. mux 4x1 with 2 control inputs, written in VHDL using 3 mathods: Logic gates, if, case. the fastest model is the one implemented with the case code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1142
    • 提供者:avi
  1. mux4x1

    0下载:
  2. mux 4x1 designed by me in fpga adv pro
  3. 所属分类:File Formats

    • 发布日期:2017-04-01
    • 文件大小:3759
    • 提供者:zacri233
  1. vhdlcodes4

    0下载:
  2. VHDL coding for 4X1 mux in behavioural modelling and for 16X1 mux in structural modelling.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:771
    • 提供者:mohankrrishna
  1. 4x1_mux

    0下载:
  2. this a simple Verilog source code for 4X1 mux.-this is a simple Verilog source code for 4X1 mux.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3833
    • 提供者:firas
  1. 4-1Multiplexer

    0下载:
  2. mux 4x1 wire command verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:31720
    • 提供者:Logesh
  1. 4X1-MUX-VHDL-source-code

    0下载:
  2. This page of VHDL source code covers 4X1 MUX vhdl code.
  3. 所属分类:Education soft system

    • 发布日期:2017-04-12
    • 文件大小:714
    • 提供者:ss
  1. mux41

    0下载:
  2. Multiplexer 4 input and 1 output for FPGA
  3. 所属分类:通讯编程

    • 发布日期:2018-04-22
    • 文件大小:398336
    • 提供者:kesi
搜珍网 www.dssz.com