CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - mux21a

搜索资源列表

  1. mux21a

    0下载:
  2. 二选一多路选择开关,实现对信号的采集,分类。-Second, the election more than one way selector switch, to achieve signal acquisition, classification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:106127
    • 提供者:weigong
  1. mux21a

    0下载:
  2. 2选1多路选择器的VHDL完整描述,即可以直接综合出实现相应功能的逻辑电路及其功能器件。图6-1是此描述对应的逻辑图或者器件图-2 election more than one MUX complete descr iption of the VHDL, which can be directly integrated to achieve the corresponding function logic devices and their functions. Figure 6-1 is th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:3020
    • 提供者:刘阳
  1. mux21a

    0下载:
  2. 在VHDL结构体中用于描述逻辑功能和电路结构的语句分为顺序语句和并行语句两部分,顺序语句的执行方式十分类似于普通软件语言的程序执行方式,都是按照语句的前后排列方式顺序执行的。-VHDL structure in the body used to describe the logic function and circuit structure of the order of statements and expressions are divided into two parts in para
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:3764
    • 提供者:刘阳
  1. cpsk

    1下载:
  2. 用VHDL硬件语言对BPSK调制解调系统进行编写,仿真通过,源代码-VHDL hardware language using BPSK modulation and demodulation system, the preparation, simulation adopted, the source code
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-14
    • 文件大小:68644
    • 提供者:王岩嵩
  1. mux21a

    0下载:
  2. 应用QuartusII 完成基本组合电路设计-The application QuartusII completion of basic combinational circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:18851
    • 提供者:
  1. mux21a

    0下载:
  2. 程序和原理图都有,实现与门的功能,测试已通过。-Program and schematic diagram, implementation and the function of the door, the test has passed.
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:169963
    • 提供者:钟毓
  1. mux21a

    0下载:
  2. 基于FPGA的用VHdl硬件语言实现的双二选一编码器。-Choose an encoder FPGA-based hardware with VHdl language of bis.
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:50406
    • 提供者:赵子龙
  1. mux21a

    0下载:
  2. 二选一,用于FPGA编程初学阶段,简单例子,使用时解压即可,Quartus II 9.0 (32-Bit)的应用(Two choose one, for FPGA programming beginner stage, a simple example, the use of decompression can be, Quartus II 9 (32-Bit) applications)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:147456
    • 提供者:star0402
  1. MUX21A

    0下载:
  2. MUX21A的VHDL程序,可供eda初学者使用,如有需要,请自取
  3. 所属分类:VHDL编程

搜珍网 www.dssz.com