CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pwm波形产生

搜索资源列表

  1. uPSD3334-PWM

    0下载:
  2. 基于uPSD3334单片机pwm波形产生程序,频率可调,占空比可调。可同时产生三路PWM波形。在电力电子中有极好的应用。使用Kerl开发环境-based uPSD3334 MCU pwm waveform generator procedures, adjustable frequency, duty cycle adjustable. May also have three PWM waveform. In power electronics has an excellent applicat
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:111090
    • 提供者:毛斌
  1. PWM

    0下载:
  2. 加PID控制的PWM波形产生源代码,已经调试通过
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2014-01-17
    • 文件大小:3562
    • 提供者:牛杰
  1. pwm_dri.利用PIC单片机产生PWM波形调整风扇转速

    3下载:
  2. 温控程序,利用PIC单片机产生PWM波形调整风扇转速,采用了PID算法,能较好的实现温控功能,温度能稳定在预设点,也可以由主机端串口实现温度设定,带Proteus仿真 ,对初学PIC单片机者有一定帮助,temperature controll system,controll signal is PWM generated by PIC MCU,the system work well,this program is helpfull for new designer off PIC MCU
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2016-10-06
    • 文件大小:96231
    • 提供者:刘小军
  1. PWM

    0下载:
  2. 用于产生pwm波形,控制电机的转动,可以调节转速,转向等-Used to generate pwm waveform, control the motor rotation, can adjust the speed, steering, etc.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:95589
    • 提供者:新方法
  1. PWM

    0下载:
  2. DSP2812的PWM波形产生样例程序 经过实验验证-The PWM waveform generation DSP2812 through experimental verification sample program
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:161516
    • 提供者:111111
  1. PWM

    0下载:
  2. TMS320F2812中PWM波形的产生-TMS320F2812 in the PWM waveform generated
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:87664
    • 提供者:zhuxiaoliang
  1. triapf1

    1下载:
  2. 基于单位功率因数控制策略的三相全控整流。开关器件采用IGBT。PWM波形产生控制电路里使用的饱和限制模块,就是限制波形的幅度的,对输出直流电压幅值影响很大。-Based on the unity power factor control strategy of three-phase full-controlled rectifier. Switching device IGBT. PWM waveform generator control circuit in the saturation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-12-07
    • 文件大小:7910
    • 提供者:雷宾
  1. 1231212

    0下载:
  2. PWM波形产生电路的实现及其应用 PWM-PWM waveform generation circuit implementation and its application PWM
  3. 所属分类:Document

    • 发布日期:2017-04-16
    • 文件大小:104918
    • 提供者:ZTC
  1. PWM

    0下载:
  2. 用单片机产生pwm波形的程序,可以在proteus 下进行仿真-Pwm waveforms generated with the microcontroller program can be carried out under the proteus simulation
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:34911
    • 提供者:enjoy
  1. PWM

    0下载:
  2. 2812产生PWM波形的全部文件,稍做修改可以直接使用-2812 PWM waveform produced all the documents with minor modification can be used directly
  3. 所属分类:DSP program

    • 发布日期:2017-05-23
    • 文件大小:7347215
    • 提供者:zhangjunmin
  1. lab0309-Pwm

    0下载:
  2. 利用DSP F2812产生PWM波形用于晶闸管开通的控制,调试正确可以直接利用 -Generate PWM waveforms using DSP F2812 opened for thyristor control, debugging can use the correct
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:250996
    • 提供者:tomorrow
  1. pwm

    0下载:
  2. DSP2812的例程,产生6路PWM波形,用示波器观察-DSP2812 routine, resulting in 6-channel PWM waveform with an oscilloscope
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:252660
    • 提供者:大薛
  1. MSP430F149

    1下载:
  2. 本设计以超低功耗单片机MSP430F149作为微控制器,利用MSP430F149 的定时器模块Timer_A和Timer_B 在比较模式下输出的脉宽调制(PWM)波形产生直流、正弦波、方波信号,通过运算放大器和功率三极管电路产生符合设计要求的电压和电流信号。可以通过4*4键盘选择波形类型和输出信号的幅值和频率,并且应用液晶显示器实现数据输出-This application report describes how to simultaneously create a sine wave, a
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:163360
    • 提供者:姚杰
  1. PWM

    1下载:
  2. 该程序用于使CCP1模块产生分辨率为10位的PWM波形,占空比为50 ,PIC单片机系列。-CCP1 module of the program used to generate 10-bit resolution PWM waveform, duty cycle 50 , PIC microcontroller series.
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:59230
    • 提供者:海岸线
  1. PWM-waveform

    0下载:
  2. 用Altera Quartus II 的VHDL语言完成的PWM波形产生的源代码-Altera Quartus II VHDL with the completion of the PWM waveform generation language source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:446354
    • 提供者:nanana
  1. DSP-pwm-

    0下载:
  2. 为了产生一个PWM信号,DSP的定时器定时周期应该和PWM的周期相等。另外需要对DSP的EVA/EVB模块中的比较单元的比较寄存器设定数值,这样该数值一直与定时器的计数器值相比较,按照一定的比较方式,PWM即产生跳变。通过此种方式,DSP的PWM管脚就会产生一个宽度与比较寄存器数值成比例的脉冲信号。在定时器重复定时的过程中就产生了PWM信号。 使用DSP比较单元产生PWM波形不需要硬件连接图,只需对DPS的相关寄存器进行配置就可以在输出端得到相应的PWM波形,其具体操作过程如下:
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:4130
    • 提供者:zhangchao
  1. pwm

    0下载:
  2. 运用CC2430的硬件功能直接产生pwm波形,简单明了-Use CC2430 hardware function directly produce the PWM, simple and clear
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:718
    • 提供者:陈杨
  1. STC89C52-PWM-xiaodeng

    0下载:
  2. 用单片机产生简单的PWM波形 控制小灯缓慢亮缓慢灭 -Generated using a simple microcontroller PWM waveform control of small bright lights slow slow off
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:13492
    • 提供者:龙龙
  1. dsp28335 pwm

    0下载:
  2. 基于DSP28335产生pwm波形控制,应用于控制领域或者开关电源(PWM waveform control based on DSP28335)
  3. 所属分类:DSP编程

    • 发布日期:2018-04-22
    • 文件大小:1024
    • 提供者:挣扎的Adam
  1. 17.使用TIM1定时器产生PWM波形输出

    0下载:
  2. 单片机STM8使用TIM1定时器产生PWM波形输出(Single chip microcomputer STM8 uses a TIM1 timer to produce PWM waveform output)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-30
    • 文件大小:1147904
    • 提供者:chenhuihappy
« 12 3 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com