CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pwm hdl

搜索资源列表

  1. pwm_VerilogHDLV1.1

    0下载:
  2. 本软件在CPLD上实现数字PWM控制,用Verilog HDL语言编写,在MAX PLUS II调试成功,可用-the software on the CPLD digital PWM control, using Verilog HDL language, MAX PLUS II in debugging success can be
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:232453
    • 提供者:wjz
  1. cpldPWM

    0下载:
  2. verilog HDL 编写的PWM,是初学CPLD者入门Z资源,epm7128stc100-10-verilog HDL prepared by the PWM, is a novice CPLD Getting Started Z resources, epm7128stc100-10
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:236956
    • 提供者:章风
  1. pwm

    0下载:
  2. PWM Verilog HDL原码和底层C驱动,即测试程序,可直接使用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:22328
    • 提供者:李其
  1. Source

    0下载:
  2. PWM的Verilog HDL代码用于FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2390
    • 提供者:张猛蛟
  1. pwm

    1下载:
  2. pwm的占空比和死区时间可调的Verilog HDL程序设计和测试-duty cycle of pwm and adjustable dead time of the Verilog HDL design and testing procedures
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-05-03
    • 文件大小:847
    • 提供者:chenhaoran
  1. PWM_deadtime

    0下载:
  2. 利用HDL语言编写的PWM死区时间的实现,已经通过本人仿真验证,对于电力电子行业的研发人员有帮助-Using HDL languages ​ ​ implementation of PWM dead time has passed my simulation, for the power electronics industry, R & D staff to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:264706
    • 提供者:喻杰
  1. SOPC_pwm_source

    0下载:
  2. 在SOPC下制作自定义部件(PWM发生器)的源程序,包括硬件描述HDL文件和驱动程序文件-Produced in the SOPC custom component (PWM generator) of the source, including hardware descr iption HDL files and driver files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:266404
    • 提供者:路得
  1. pwm_avalon_interface

    0下载:
  2. altera 公司内部PWM的HDL及驱动代码-altera internal PWM and driver of the HDL code
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:22608
    • 提供者:summery
  1. create_new_component

    0下载:
  2. sopc 中,新建component。详细介绍了如何根据HDL代码生成黑盒的过程。-SOPC, the new component. Described in detail how the HDL code generation black-box process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4350
    • 提供者:wangxue
  1. fspwm

    0下载:
  2. veirlog hdl语言,用单片机控制,可产生,产生10位pwm波形,并能设置分频-veirlog hdl language, using single-chip microcomputer control, can produce, resulting in 10 pwm waveform and frequency settings
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:250664
    • 提供者:liguoyong
  1. PWM_VerilogHDL

    0下载:
  2. altera公司网站上的详细的PWM设计的Verilog hdl源程序,大多数都采用这个-altera company' s Web site the detailed design of the PWM source Verilog hdl, most have adopted this
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2196
    • 提供者:Mrshen
  1. BLDCM

    2下载:
  2. 基于Verilog HDL的直流无刷电机控制程序,Quartus II环境下编写。-Verilog HDL for BLDCM Control in Quartus II。
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-27
    • 文件大小:198857
    • 提供者:琉璃
  1. eda

    1下载:
  2. 利用FPGA可编程芯片及Verilog HDL语言实现了对直流电机PwM控制器的设计,对直流电机速度进行控制。介绍了用Verilog HDL语言编程实现直流电机PwM控制器的PwM产生模块、串口通信模块、转向调节模块等功能,该系统无须外接D/A转换器及模拟比较器,结构简单,控制精度高,有广泛的应用前景。同时,控制系统中引入上位机控制功能,可方便对电机进行远程控制。-Using FPGA programmable chip and Verilog HDL language for the desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4268220
    • 提供者:杨汉轩
  1. MCU_V_PWM_16bit

    0下载:
  2. 单片机通过总线,将占空比和频率送到CPLD/FPGA中,并控制PWM输出.采用Verilog HDL语言编写。-Microcontroller by bus, the duty cycle and frequency sent to the CPLD/FPGA in, and control the PWM output. Using Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:255339
    • 提供者:zhouming
  1. VerilogHDLPWM

    0下载:
  2. Verilog HDL编写的PWM,已运行-PWM Verilog HDL prepared
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:241371
    • 提供者:jessie
  1. PWM

    1下载:
  2. verilog描述 PWM IP核 内部包括载波 占空比 和时能寄存器-IP kernel of PWM based on Verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3648
    • 提供者:胡静
  1. PWM

    0下载:
  2. PWM IP 核的verilog HDL代码-CODE of the PWM IP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:857
    • 提供者:mr li
  1. pwm_out

    0下载:
  2. 用verilog hdl编写的高效PWM模块,可以通过键盘控制占空比和周期,并在数码管上得以显示-Verilog hdl write efficient PWM module can be controlled through the keyboard duty cycle and cycle and can be displayed on the digital tube
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:417772
    • 提供者:钱世俊
  1. PWM

    0下载:
  2. 应用verilog.HDL编写的PWM波的生成程序-Generation of application of verilog.HDL to prepare PWM wave
  3. 所属分类:source in ebook

    • 发布日期:2017-05-24
    • 文件大小:4212039
    • 提供者:王明亮
  1. pwm控制直流电机_verilog_l9110

    0下载:
  2. VERILOG语言 控制的直流电机 在各大数字逻辑软件如VIVADO ise 均可使用 功能强大 简单易学(motor controlled by VERILOG HDL)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-21
    • 文件大小:10240
    • 提供者:铭润
« 12 »
搜珍网 www.dssz.com