CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - random generator in vhdl

搜索资源列表

  1. pn127

    0下载:
  2. 这是个128位的串行伪随机码发生器,还可以进一步扩充-128 This is a serial pseudo-random code generator, can be further expanded
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:446667
    • 提供者:lee
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1403
    • 提供者:李辛
  1. khalil2006_true_random_number_generator

    0下载:
  2. a true random number generator (TRNG) in hardware which is targeted for FPGA-based crypto embedded systems. All crypto protocols require the generation and use of secret values that must be unknown to attackers.Random number generators (RNG) are requ
  3. 所属分类:Crypt_Decrypt algrithms

  1. VHDL

    0下载:
  2. 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2404
    • 提供者:qiumh
  1. weisuiji

    0下载:
  2. 伪随机比特发生器, VHdL写的伪随机比特发生器-Pseudo-random bit generator, pseudo-random bit generator, VHdL written in pseudo-random bit generator,
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:952
    • 提供者:阿道夫
  1. bakema

    0下载:
  2. 巴克码发生器的VHDL程序,巴克码主要用于通信系统中的帧同步,便于与随机的数字详细相区别,易于识别。-Barker Code Generator VHDL program, Barker Code is mainly used for frame synchronization in communication systems, and the random number to facilitate more differentiated and easy identification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:342117
    • 提供者:andy
  1. RNG

    0下载:
  2. Random number Generator based in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:681569
    • 提供者:banhallem
  1. UniformRNG

    0下载:
  2. A Uniform Random Number Generator in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:557
    • 提供者:Vahid
  1. lfsr

    0下载:
  2. the LFSR is coded in VHDL, using a structural descr iption, which is instantiated as a separate component in the top-level design. Then we can get a random number by a pseudorandom number generator based on a linear feedback shift register (LFS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1725
    • 提供者:宋臣
  1. ca_prng_latest.tar

    0下载:
  2. Pseudo random noise generator/ implemented in VHDL/Verilog
  3. 所属分类:assembly language

    • 发布日期:2017-12-03
    • 文件大小:10393
    • 提供者:ahmed
搜珍网 www.dssz.com