CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rcvr

搜索资源列表

  1. rcvr

    0下载:
  2. 异步串行通信接受单元的设计源程序 异步串行通信接受单元的设计源程序
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1376
    • 提供者:远方
  1. UART

    0下载:
  2. 利用Verilog实现一个UART接口,包含三个源文件rcvr.v\txmit.v\uart.v -Verilog realization of the use of a UART interface, the source file contains three rcvr.v \ txmit.v \ uart.v
  3. 所属分类:Com Port

    • 发布日期:2017-03-26
    • 文件大小:1766
    • 提供者:speed
  1. waterf

    0下载:
  2. Waterfall plot matlab function. Plots the waveforms in X(time,rcvr) vs. "time".Each trace is auto scaled so that the peak-to-peak value is at most 1.0 then each trace is offset by 1 unit.-Waterfall plot matlab function. Plots the waveforms in X(t
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:846
    • 提供者:Cagdas
  1. 8051f

    0下载:
  2. 80c51的一些代码, 希望对初学者有一定的帮助,此程序一运行。-SCON = 0x50 /* SCON: mode 1, 8-bit UART, enable rcvr */ TMOD |= 0x20 /* TMOD: timer 1, mode 2, 8-bit reload */ TH1 = 221 /* TH1: reload value for 1200
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:1102
    • 提供者:孙艳玲
  1. rcvr

    0下载:
  2. verilog的串口接收程序,有详细注释,适合学习-verilog serial port to receive the program, there are detailed notes, suitable for learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:950
    • 提供者:吕攀攀
搜珍网 www.dssz.com