CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - register VHDL

搜索资源列表

  1. 时序逻辑:VHDL实例---移位寄存器

    1下载:
  2. 时序逻辑种类:VHDL实例---移位寄存器-sequential logic types : VHDL examples --- Shift Register
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2175
    • 提供者:张洪
  1. vhdl.rar

    0下载:
  2. 74ls164 8位移位寄存器 串入并出,74ls164 8-bit shift register and a string into
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1049
    • 提供者:fankexing
  1. multiplier-accumulator(vhdl)

    1下载:
  2. 用VHDL语言描述和实现乘法累加器设计,4位的被乘数X和4位的乘数Y输入后,暂存在寄存器4位的寄存器A和B中,寄存器A和B的输出首先相乘,得到8位乘积,该乘积再与8位寄存器C的输出相加,相加结果保存在寄存器C中。寄存器C的输出也是系统输出Z。(原创,里面有乘法部分和累加部分可以单独提出来,很好用) -With the VHDL language to describe the design and realization of multiplier-accumulator, four of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:967180
    • 提供者:jlz
  1. 24_bit_register

    0下载:
  2. 自己使用VHDL语言编写的24位寄存器.主要用于DDS中-24bit_register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:54679
    • 提供者:wanghaosen
  1. ShiftRegister

    0下载:
  2. Shift register verilog code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-12
    • 文件大小:556
    • 提供者:selcuk
  1. project

    0下载:
  2. synthesizable code for shift register of user defined size
  3. 所属分类:Document

    • 发布日期:2017-04-14
    • 文件大小:2882
    • 提供者:krupal
  1. shiftregister

    0下载:
  2. Shift Register. VHDL code and its testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1193
    • 提供者:mehmet
  1. UniversalRegister

    0下载:
  2. 普通的缓冲器 这种设计是一个普通的缓冲器,可以做一个直接的缓冲器,也可以做一个双向的转移缓冲器,还可以做一个递增的计数器和递减计数器-Universal Register This design is a universal register which can be used as a straightforward storage register, a bi-directional shift register, an up counter and a down counter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:953
    • 提供者:杜翔
  1. register

    0下载:
  2. it is source code of 32 bit register and testbench for tht register written in verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:12953
    • 提供者:bhaskar
  1. mux_reg

    0下载:
  2. VHDL code for a multiplexer and a parallel/serial in parallel/serial out shift register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:758
    • 提供者:Davood
  1. shift_register

    0下载:
  2. shift register it is shifte register for vhdl coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:201700
    • 提供者:han
  1. register

    0下载:
  2. 计算机组成原理实验通用寄存器组。仅供大家参考。-Computer Organization experimental general-purpose register group. Only for your reference.
  3. 所属分类:Other systems

    • 发布日期:2017-03-23
    • 文件大小:284965
    • 提供者:于洪宇
  1. vhdl-pdelay

    0下载:
  2. programmable delay register (16-bit) in VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:82927
    • 提供者:bfuclin
  1. VHDL

    0下载:
  2. 时钟发生器用于生成不同的时钟信号clock、clk2、fetch与alu_clk,产生的时钟信号clk送往寄存器与状态控制器,时钟信号clk2送往数据控制器与状态控制器,信号fetch送往数据控制器与地址多路器,信号alu_clk送往算术逻辑单元。-Clock generator to generate different clock signals clock, clk2, fetch and alu_clk, generated clock signal sent to register w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3709
    • 提供者:cccs
  1. sr12univ_a

    0下载:
  2. universal shift register vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:680
    • 提供者:ayhan
  1. shift16

    0下载:
  2. The data in the shift register in shift pulses can move or by bit right next moves left, data can be parallel input, parallel output, also can serial input, serial output, still can parallel input, output, serial input, serial, parallel output is fle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:277240
    • 提供者:张凯
  1. load--clr-register

    0下载:
  2. 带load、clr等功能的寄存器 VHDL语言编写,亲自运行,成功-Register VHDL language, with features such as load, clr personally run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:709
    • 提供者:邹德超
  1. 16x4-register-VHDL

    0下载:
  2. 16x4的寄存器的VHDL硬件描述语言的实现,可以用quaturs实现。-16x4 register based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:2891
    • 提供者:刘睿
  1. shift-register-VHDL

    0下载:
  2. 移位寄存器的VHDL实现,可以用quaturs实现。-shift register based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:2929
    • 提供者:刘睿
  1. Register

    0下载:
  2. this code is by VHDL language for register ent counter register and
  3. 所属分类:其他

    • 发布日期:2018-01-11
    • 文件大小:375808
    • 提供者:nasser
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com