CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rom based sine wave generator

搜索资源列表

  1. VHDL设计的相关实验,包括4位可逆计数器

    0下载:
  2. VHDL设计的相关实验,包括4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现。-VHDL design of experiments, including four reversible counters, four reversible binary code- Gray code converter design, the sequence detector design, the ROM-based sine w
  3. 所属分类:软件工程

    • 发布日期:2016-01-27
    • 文件大小:49152
    • 提供者:张联合
  1. VHDL-ROM4.基于ROM的正弦波发生器的设计

    0下载:
  2. 基于ROM的正弦波发生器的设计:1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 ,ROM-based design of the sine wave generator: 1. Sinusoidal waveform generator by the data storage module (ROM), wav
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-27
    • 文件大小:98211
    • 提供者:宫逢源
  1. DDS.rar

    0下载:
  2. 基于EPM7128的数字合成信号发生器(DDS)设计。通过对EPM7128编程,组合出地址累加器、数据锁存器、256*8位ROM空间。外接DA可实现正弦波输出功能,EPM7128-based signal generator for digital synthesis (DDS) design. EPM7128 through programming, the combination of address accumulator, data latches, 256* 8 ROM space.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:353854
    • 提供者:xiaoyu
  1. experiment_7

    0下载:
  2. 基于ROM的正弦波发生器的设计:使用MATLAB得到这64个波形数据,将这些存数据写入一个ROM中。再输入时钟,每个上升沿依次读取一个波形数据-ROM-based sine wave generator of the design: the use of MATLAB to obtain waveform data 64, to write the data in a ROM. Re-enter the clock, each rising edge followed by a read wav
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:101687
    • 提供者:evelyn
  1. ROM_based_sine_wave_generator_VHDL_design

    0下载:
  2. VHDL基于ROM的正弦波发生器的设计的实验报告,内附源代码-ROM-based sine wave generator VHDL design of experiment reports, included the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4367
    • 提供者:CXJ
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:17414
    • 提供者:爱好
  1. renyiboxing

    0下载:
  2. 信号发生器是一种常用的仪器,能够实现各种波形,不同频率的输出,电子测试系统的重要部件。本研究 的数字信号发生器足基于直接数字合成即DDS技术设计的,采用VHDL与C语言相结合的方法,通过查找存储 于ROM查找表中的各种标准波形数据,产牛频率Hf调并且高精度的正弦波、方波、锯齿波等常用信号,并且町 以通过修改表中的数据,实现任意信号发生器-Signal generator is a commonly used instrument to achieve a variety of wav
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:268299
    • 提供者:姚木
  1. ROM-based-sine-wave-generator-design

    0下载:
  2. 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。-ROM-based sine wave generator design, its compilation, simulation. Specific requireme
  3. 所属分类:VHDL-FPGA-Verilog

  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
  1. vhdl

    0下载:
  2. VHDL实验报告 基于ROM的正弦波发生器的设计-VHDL experiment reports the ROM-based sine wave generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2133
    • 提供者:天行者
  1. dds_sin

    0下载:
  2. 基于FPGA的DDS信号发生器,可以在FPGA上实现正弦波的产生,用到isp协议,sin函数rom发生器,希望这些能帮助大家!-FPGA-based DDS signal generator, sine wave generation on the FPGA, used isp agreement, the sin function rom generator, I hope These can help you!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:681863
    • 提供者:520yunping1314
  1. ROM-based-sine-wave-generator-of-the-design-the-u

    0下载:
  2. Rom based Sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:983
    • 提供者:Ladik
  1. sin

    0下载:
  2. vhdl语言写的基于rom的正弦波发生器,包含代码和仿真图-VHDL language used to write rom-based sine wave generator contains code and simulation Figure
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:71625
    • 提供者:张瑞萌
  1. ddss

    0下载:
  2. 基于DDS技术和ROM压缩技术的正弦波信号发生器,具有更高的精度和更好的频谱特性。文件中包含设计源文件和Modelsim工程中的所有内容-sine wave signal generator ROM based on DDS technology and compression technology, with a greater precision and better spectrum. File contains all of the content including source fi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-01
    • 文件大小:1811120
    • 提供者:qinjing
  1. sin_generator

    0下载:
  2. 基于QUARTUS ii的ROM的正弦方波锯齿信号发生器。-Sine square, wave saw and tooth signal generator based on ROM of QUARTUS II.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2435668
    • 提供者:
搜珍网 www.dssz.com