CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rs 232 vhdl

搜索资源列表

  1. S3Demo

    0下载:
  2. Spartan 3 Digilent Demo:This demo drives the perphrials on the Spartan 3 board. This drives a simple pattern to the VGA port, connects the switches to the LEDs, buttons to each anode of the seven segment decoder. The seven segment decoder has a simpl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:731568
    • 提供者:Roy Hsu
  1. uart_transmitter

    0下载:
  2. Very good info. for RS-232 transmitter VHDL code .
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1154
    • 提供者:wan mi
  1. uart_receiver

    0下载:
  2. Very good info. for RS-232 receive VHDL code .
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1440
    • 提供者:wan mi
  1. ECHO_DE2

    0下载:
  2. Very good info. for RS-232 echo VHDL code .
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2074
    • 提供者:wan mi
  1. 实现PS/2接口与RS-232接口的数据传输

    0下载:
  2. 实现PS/2接口与RS-232接口的数据传输, 可以通过RS-232自动传送到主机的串口调试终端上并在数据接收区显示接收到的字符。,The realization of PS/2 port RS-232 interface with data transfer, RS-232 can be automatically sent to the host serial debug terminal and reception area in the data display received ch
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:15192
    • 提供者:包宰
  1. rs232_receiver

    0下载:
  2. VHDL implementation for an RS-232 receiver system.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1185
    • 提供者:mert
  1. RS-232sender

    0下载:
  2. 一个串口RS-232 发送模块。基于VHDL语言。-A serial RS-232 send module. Based on the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:9114
    • 提供者:李超
  1. RS_Verilog

    0下载:
  2. RS码的FPGA实现,verilog语言形式,好参考资料-FPGA realization of RS code, verilog language form, a good reference
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:101249
    • 提供者:张洪
  1. c_FPGA

    0下载:
  2. RS232设计,硬件测试通过,VERILOG实现的,比较好的哦-RS232 design, hardware test, VERILOG realized, oh good
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1249471
    • 提供者:洪依
  1. IO

    0下载:
  2. serial io for rs 232 communication
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:900249
    • 提供者:prakash
  1. ThedesignofUniversalAsynchronousReceiverTransmitte

    0下载:
  2. 本课题所设计的UART支持标准的RS.232C传输协议,主要设计有发送模块、接收模块、线路控制与中断仲裁模块、Modem控制模块以及两个独立的数据缓冲区FIFO模块。该模块具有可变的波特率、数据帧长度以及奇偶校验方式,还有多种中断源、中断优先级、较强的抗干扰数据接收能力以及芯片内部自诊断的能力,模块内分开的接收和发送数据缓冲寄存器能实现全双工通信。除此之外最重要的是利用口模块复用技术设计数据缓冲区FIFO,采用两种可选择的数据缓冲模式。这样既可以应用于高速的数据传输环境,也能适合低速的数据传输场
  3. 所属分类:Project Design

    • 发布日期:2017-05-17
    • 文件大小:5073351
    • 提供者:mabeibei
  1. rs232_transmit_control

    0下载:
  2. RS-232 transmir control programmed in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:921
    • 提供者:JT_LADINO
  1. 28538604-Spartan-3E-MATLAB-Interface-Documentatio

    0下载:
  2. Documentation VHDL communication RS-232 with the spartan 3
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:313289
    • 提供者:JT_LADINO
  1. byzxin_RS232

    0下载:
  2. RS 232 interface vhdl language programme for video processing pcb board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1710425
    • 提供者:tsincons
  1. rs232

    0下载:
  2. this is vhdl code. purpose of rs-232 connected with altera cyclone2.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:94436
    • 提供者:kimjuhyun
  1. rs232_receiver

    0下载:
  2. receiver RS-232 programmed in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2934
    • 提供者:JT_LADINO
  1. URAT

    0下载:
  2. 在ISE环境下,用VHDL语言实现RS232串口设计,实现串口通信。通过串口调试工具向 0000000UART发送16进制数,FPGA将UART接收到的串行数据转换为并行数据,并在8个 LED灯上输出显示;同时,并行数据又被重新转换为串行数据,重新送给RS-232接口,并在 串口调试工具上再次显示,SW0为复位键。 比如:串口调试工具发送两位16进制数,然后能在LED上显示,并且重新在串口调试工 具上显示。串口调试工具设置:波特率设为9600,默认奇校验。-In the IS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:403088
    • 提供者:panda
搜珍网 www.dssz.com