CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - s-fsk

搜索资源列表

  1. IA4420

    0下载:
  2. IA4420 工作在315/433/868/915MHz 频段(IA4421 工作在433/868/915MHz 频段); 2. 低电压工作,工作电压2.2V~5.4V; 3. 低功耗模式,待机电流0.3uA; 4. 调制模式FSK,并具备高度集成的PLL; 5. 低发射功率、高接收灵敏度设计,发射功率5~10 dbm 可调,接收灵敏度-109 dbm; 6. 内置时钟输出,可省掉MCU 的晶振; 7. 传输数据率高,数字信号可达115.2 kbit/s,模拟信号可达25
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:39433
    • 提供者:songxiaoyan2008
  1. SIN_fashengqi

    0下载:
  2. 2006altera大赛-基于软核Nios的宽谱正弦信号发生器设计:摘要:本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA公司的 Cyclone 系列 FPGA 为数字平台,将微处理器、总线、数字频率合成器、存储器和 I/O 接口等硬件设备集中在一片 FPGA 上,利用直接数字频率合成技术、数字调制技术实现所要求波形的产生,用 FPGA 中的 ROM 储存 DDS 所需的波形表,充分利用片上资源,提高了系统的精确度、稳定性和抗干扰性能。使用新的数字信号处理(
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:407706
    • 提供者:刘斐
  1. dtmf_fsk

    0下载:
  2. 我的一个项目用到的,找了好久的电话机标准,DTMF,FSK,和台湾的标准-I used in a project, find the phone for a long time standards, DTMF, FSK, and Taiwan's standards
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:581424
    • 提供者:sun
  1. AD9852CompleteDDSandItsApplication

    1下载:
  2. 摘要:AD9852是美国ANALOGDEVICES公司生产的新型直接数字频率合成器(DDS),具有频率转换速度快(小于lt~s)、频谱纯度高、工作温度范围宽(一25℃~+85℃)、集成度高等特点,是一种使用方便灵活、功能较强的芯片。AD9852由带有48位相位累加的数控振荡器、可墒程参考时钟倍乘器、反向正弦滤波器、计数倍乘器、两个300MHz12住数模转换器、高速模拟比较器和接口逻辑组成。可用于本振合成回路,高精度时钟发生器和FSK//3PSK调制。文中介绍了AD9852的工作原理、引脚功能以厦
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:126957
    • 提供者:梅名
  1. Fsk

    0下载:
  2. 一个关于FSK的matlab编写的小程序,欢迎大家指正讨论-FSK s matlab on the preparation of small procedures, welcome to correct me to discuss
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:1204
    • 提供者:HDH
  1. FSKmodulationanddemodulation

    0下载:
  2. FSK调制与解调,整个设计基于ALTERA公司的QuartusⅡ开发平台,并用Cyclone系列FPGA实现。所设计的调制解调器具有体积小、功耗低、集成度高、软件可移植性强、扰干扰能力强的特点,符合未来通信技术设计的方向。-FSK modulation and demodulation, the entire design is based on ALTERA' s development platform Quartus Ⅱ, and Cyclone series FPGA implem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:575353
    • 提供者:张继峰
  1. 12791

    0下载:
  2. ST7540 FSK powerline transceiver design guide for AMR
  3. 所属分类:Communication

    • 发布日期:2017-05-07
    • 文件大小:1042376
    • 提供者:ruo
  1. 1334-5-1DL

    0下载:
  2. 采用配电线载波系统 的配电自动化 第5-1部分:低层协议—扩频型移频键控 (S-FSK)规约 -Using distribution line carrier system, distribution automation the first 5-1 parts: the lower the agreement- spread-spectrum frequency-shift keying-type (S-FSK) of the Statute
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-17
    • 文件大小:85883
    • 提供者:lyj
  1. Embedded_Network_Microcontroller_information_appli

    1下载:
  2. 如何通过互联网共亨以单片机应用系统为中心的小型嵌入式设备的信息,成为当今电子界 ... 多任务操作,可以在MCU执行数据采集和控制功能的同时把数据打包并传送到互联网上。 ... 直接驱动普通I/O口实现硬件外设功能(如UART、 I2C、SPI、 Caller ID、FSK等)-How to Hang a total through the Internet-centric single-chip microcomputer application system for small embedd
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:117267
    • 提供者:流留
  1. fskdata

    0下载:
  2. 自己搜集的FSK解调材料,比较全,对于做FSK解调的人很有帮助-FSK demodulation material' s own research, compare the whole, for people who do FSK demodulation helpful
  3. 所属分类:Modem program

    • 发布日期:2017-05-13
    • 文件大小:3568505
    • 提供者:张朋
  1. My_FSK_mdl

    0下载:
  2. fsk在matlab的simmulink上面的仿真-fsk matlab' s simmulink in the above simulation
  3. 所属分类:Modem program

    • 发布日期:2017-03-28
    • 文件大小:7934
    • 提供者:dffgdds
  1. zi

    1下载:
  2. 在本系统中,信息序列在先经过FSK调制,经过截止频率为25kHz的低通滤波器后(把信号频率限制在25kHz),再进入混频器搬移到更高的频率发送出去,在接收端,用与发送端同步的跳频信号对其进行变频(跳频的解跳处理在此进行),取下边频,然后进行FSK的解调-In this system, the message sequence prior through FSK modulation, through the cut-off frequency of 25kHz after low-pass fi
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:1638
    • 提供者:clinfeng
  1. FH

    0下载:
  2. 用随机数发生器产生二进制序列,他作为FSK调制器的输入。FSK调制器的输出受到概率a的加性高斯噪声污染,仿真得出系统的误码率。- Has the binary sequence with the random number generator, he takes FSK modulator s input. The FSK modulator s output receives probability the Canada Gauss noise pollution, the simulatio
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:1592
    • 提供者:xiaoxiao
  1. FSK_mod

    0下载:
  2. FSK modulation matlab model frequency deviation FSK modulacia so spojitou fazou je zalozena na frekvenciach, t.j. ak k=f/tb a k je celociselny nasobok oboch frekvencii. Ak k nie je celociselny nasobok, potom je to FSK modulacia s nespoji
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:518
    • 提供者:aliufremont
  1. FSK-MATLAB

    0下载:
  2. 基于matlab的fsk调制的代码,可以实现仿真-it s fsk
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:4982
    • 提供者:方俊
  1. eg6_6

    0下载:
  2. 一个二进制FSK通信系统的蒙特卡诺仿真bpskdigital(s,f) 本程序实现BPSK调制-A binary FSK communication system simulation Monte Kano bpskdigital (s, f) of the Program for BPSK modulation
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:1096
    • 提供者:邓雄
  1. ti-sfsk

    0下载:
  2. ti s-fsk电力载波通信模块,供各位参考一下-Spread_Frequency shift Keying Power Line Monem Software Architecture
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:590345
    • 提供者:王洪涛
  1. fsk_tz

    0下载:
  2. vhdl实现FSK调制,本次毕业设计的数据速率 1.2kb/s,要求产生一个1.2kHz的正弦信号,对正弦信号每周期取100个采样点,因此要求产生3个时钟信号:1.2kHz(数据速率)、120kHz(产生1.2kHz正弦信号的输入时钟)、240kHz(产生2.4kHz正弦信号的输入时钟)。基准时钟已由一个外部时钟120MHz提供,要得到前面三种时钟,就需要首先设计一个模50的分频器产生240kHz信号,再设计一个二分频器,生产一个120kHz的信号,然后再前面的基础上再设计一个模100的分频器,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:768
    • 提供者:
  1. fsk

    0下载:
  2. 改程序的主要功能,是实现fsk的相关解调,通过该程序可以从总体上聊天fsk调制。-Change the program' s main function is to achieve fsk demodulator fsk modulation through the program from the general chat.
  3. 所属分类:Compiler program

    • 发布日期:2017-11-19
    • 文件大小:1501
    • 提供者:wanghengyong
  1. dsp_qpsk_fsk

    2下载:
  2. 采用TI的DSP6713协同ALTERA的FPGA芯片实现数字FSK调试,并仿真测试成功-TI s DSP6713 collaborative ALTERA FPGA chip digital FSK debugging and simulation test was successful.
  3. 所属分类:DSP编程

    • 发布日期:2014-03-09
    • 文件大小:194623
    • 提供者:luomeigang
« 12 »
搜珍网 www.dssz.com