CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - serial data transmitter

搜索资源列表

  1. verilog for uart

    0下载:
  2. 通用异步接收器/发送器(UART)是能够编程以控制计算机到附加串行设备的接口的微芯片。详细来说,它提供给计算机RS-...还有高级的UART提供了一定数量的数据缓冲,这样计算机和串行设备数据流就可以保持同样的速度。-universal asynchronous receiver / transmitter (UART) can be programmed to control computer attached to the serial device interface microchips.
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:9682
    • 提供者:李志
  1. MyCommSend

    0下载:
  2. 一个NMEA格式的GPS数据调试使用的发送端,负责从Log文件中读取CFGPS2通用格式GPS数据向串口发送-a GPS NMEA format debugging data transmitter used for documents from the Log read CFGPS2 GPS common format for sending data to the serial port
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:33016
    • 提供者:张积存
  1. ofdmgen.m

    0下载:
  2. MATLAB program for OFDM generation and reception This is a simple MCM communications system. The code is pretty self-explanatory. Here I have not used the cyclic prefix. Transmitter End: 1. Generate random serial data with M symbols (RAND
  3. 所属分类:Communication

    • 发布日期:2017-03-22
    • 文件大小:1165
    • 提供者:snapaj
  1. micro-UARTsource_V

    0下载:
  2. UART(即Universal Asynchronous Receiver Transmitter 通用异步收发器)是广泛使用的串行数据传输协议。UART允许在串行链路上进行全双工的通信。-UART (ie Universal Asynchronous Receiver Transmitter Universal Asynchronous Receiver Transmitter) is a widely used serial data transfer protocol. UART allo
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-25
    • 文件大小:5495
    • 提供者:
  1. A_bit_serial_data_transmitter

    0下载:
  2. 比特序列传送模块 把输入的八位比特数据 做循环后每个比特输出 详细请看英文描述-• To create Verilog-HDL modules written in the RTL style appropriate for both simulation and synthesis, for the various component parts of an Asynchronous Serial Data Transmitter. • To verify th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1725
    • 提供者:吴德昊
  1. TRL_Design_of_a_asynchronous_bit_serial_data_trans

    0下载:
  2. RTL 异步数据传送模块 用verilog HDL 语言描述 输入为八比特数据,执行操作后异步每比特输出。-• To create Verilog-HDL module written in the RTL style appropriate for both simulation and synthesis, for an Asynchronous Serial Data Transmitter. • To verify the correct behavi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1871
    • 提供者:吴德昊
  1. transmitter

    0下载:
  2. 串口模块程序,可以实现串行发送和接收功能,比特率可以不断调整,数据的长度是可以改变的-Serial port module program, you can achieve the serial send and receive functions, and bit rate can be continuously adjusted, the data length can be changed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:634
    • 提供者:李海
  1. dianpianjin

    0下载:
  2. 单片机串行通信发射机采用串行工作方式,发射并显示两位数字信息,使数据能够在不同地方传递。-Serial Communication transmitter serial work, launch and display the two digital information, so that data can be delivered in different places.
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:278471
    • 提供者:answerquestions
  1. uart_rx

    0下载:
  2. Tcode is in VERILOG HDL (Hardware descr iption language) code is of UART (universal asynchronous receiver&transmitter) receiver . its objective is to accept serial data from port of computer and allow it to come in a FPGA-Tcode is in VERILOG HDL
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:992
    • 提供者:hassan
  1. usefulUART

    0下载:
  2. UART是广泛使用的串行数据通讯电路。本设计包含UART发送器、接收器和波特率发生器。设计应用EDA技术,基于FPGA器件设计与实现UART。 -UART is a widely used serial data communication circuits. This design includes UART transmitter, receiver and baud rate generator. Design and Application of EDA technology, ba
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4571
    • 提供者:
  1. UARTVHDL

    0下载:
  2. UART是广泛使用的串行数据通讯电路。本设计包含UART发送器、接收器和波特率发生器。设计应用EDA技术,基于FPGA/CPLD器件设计与实现UART。-UART is a widely used serial data communication circuit. The design includes UART transmitter, receiver and baud rate generator. Application of EDA design technology based o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:241212
    • 提供者:王志慧
  1. UART_T1

    0下载:
  2. UART:Universal Asynchronous Receiver/Transmitter,通用异步接收/发送装置,UART是一个并行输入成为串行输出的芯片,通常集成在主板上,多数是16550AFN芯片。 串行接口是一种可以将接受来自CPU的并行数据字符转换为连续的串行数据流发送出去,同时可将接受的串行数据流转换为并行的数据字符供给CPU的器件。一般完成这种功能的电路,我们称为串行接口电路。-UART: Universal Asynchronous Receiver/Transmitt
  3. 所属分类:Com Port

    • 发布日期:2017-04-01
    • 文件大小:278230
    • 提供者:zgd
  1. atmega8-reciever-code

    0下载:
  2. this code is for atmegqa8 to act as serial data transmitter for wireless transmitions, for 3 analog data converted to digital and simultaneously displaying it in LCD. code is written in AVR-GCC platform.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:26107
    • 提供者:ABK
  1. Serial-port

    0下载:
  2. this a serial port (COM) transmitter module and it is fully synthesizble on fpga it has load, clk, rest and data inputs and serial a,d busy outpus -this is a serial port (COM) transmitter module and it is fully synthesizble on fpga it has load, c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:271210
    • 提供者:hamid moallemi
  1. wraddiooi

    1下载:
  2. 无线数传电台串口通信C程序源码源码 电台型号为深圳友迅达达公司的F201/B无线数传电台 可直接使用。 已通过测试。 -The wireless data transmitter serial communication C program source code model radio Shenzhen Friends of fast Dada F201/B wireless data transmitter can be used directly. Has been tested.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:2324
    • 提供者:权力
  1. 232

    0下载:
  2. 51单片机的串口通信 RS232 数据的发射于接收-51 single-chip serial communication RS232 data transmitter to receive
  3. 所属分类:SCM

    • 发布日期:2017-12-09
    • 文件大小:1057
    • 提供者:zhengjianan
  1. UartSend

    1下载:
  2. FPGA Verilog HDL 语言构建串口数据发送器的详细方案设计-FPGA Verilog HDL language construct serial data transmitter detailed program design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:8163407
    • 提供者:刘明来
  1. verilog-uart

    1下载:
  2. UART(Universal Asynchronous Receiver Transmitter,通用异步收发器)是广泛使用的异步串行数据通信协议。下面首先介绍UART硬件接口及电平转换电路,分析UART的传输时序并利用Verilog HDL语言进行建模与仿真,最后通过开发板与PC相连进行RS-232通信来测试UART收发器的正确性。-UART (Universal Asynchronous Receiver Transmitter, Universal Asynchronous Receive
  3. 所属分类:Software Testing

    • 发布日期:2016-11-15
    • 文件大小:117760
    • 提供者:李科
  1. Ultrasonic-ranging-serial-display

    0下载:
  2. 超声波测距串口显示,使用51单片机产生波,通过超声波发射模块发射,然后计算出发射与接收的时间从而计算出与物体相隔距离,然后通过串口,在上位机上显示出数据。-Ultrasonic Ranging serial display, the use of 51 single-generation wave emitted by the ultrasonic transmitter module, then calculate the transmission and reception of the t
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:78330
    • 提供者:qwerTY
  1. URAT

    0下载:
  2. 通用同步异步收发器(USART)提供了一种灵活的方法与使用工业标准NRZ异步串行数据格式的外部设备之间进行全双工数据交换。-The universal synchronous asynchronous receiver transmitter (USART) offers a flexible means of full-duplex data exchange with external equipment requiring an industry standard NRZ asyn
  3. 所属分类:Other systems

    • 发布日期:2017-03-21
    • 文件大小:551361
    • 提供者:rig
« 12 3 »
搜珍网 www.dssz.com