CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - seven segment

搜索资源列表

  1. shizhong

    0下载:
  2. 用vhdl语言描述时钟的功能,并通过七段译码显示输出。-VHDL language used to describe the function of the clock and through the Seven-Segment display decoder output.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:67471
    • 提供者:wuyub
  1. 7seg

    0下载:
  2. 模擬微電腦設計-七段顯示器字型~~VB 模擬微電腦-七段顯示器字型做計時器的顯示 -Simulation microcomputer design- Seven-Segment Display Font ~ ~ VB simulation microcomputer- Seven-Segment display font to do the timer display
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-14
    • 文件大小:5091
    • 提供者:tatsuya
  1. ex4-4

    0下载:
  2. 这个程序利用89S51控制一颗共阳七段显示器-89S51 to control the use of this procedure a total of Yang Seven-Segment Display
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:7120
    • 提供者:小志
  1. ex4-5

    0下载:
  2. 这个程序利用89S51控制一颗共阳七段显示器-89S51 to control the use of this procedure a total of Yang Seven-Segment Display
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:8121
    • 提供者:小志
  1. dny_brd1

    0下载:
  2. 3 simple AVR assembler code to use seven segment display. These 3 codes realy simple way using seven segment display. these are using in my microprocessor classes. Wert deney1.asm -> no scan Wert Deney2.asm -> Scan but BCD Werrt Deney3.a
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:9046
    • 提供者:cooluser
  1. VerilogHDL_code

    0下载:
  2. 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1603267
    • 提供者:shsh
  1. bcd_7seg_decodr

    0下载:
  2. this program will give the functionality of bcd to seven segment display
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:106894
    • 提供者:v.k.sreedhar
  1. 16bit_display8bitLED

    0下载:
  2. Abstract七段显示器在DE2可当成Verilog的console,做为16进位的输出结果。Introduction使用环境:Quartus II 7.2 SP1 + DE2(Cyclone II EP2C35F627C6)简单的使用switch当成2进位输入,并用8位数的七段显示器显示16进位的结果。-Abstract Seven-Segment Display as Verilog to DE2 at the console, as 16 of the output binary. In
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6756
    • 提供者:王媛媛
  1. Seven-Segment-LED

    0下载:
  2. 七段数码管按键识别,按下1键显示1,按下2键显示2-Seven-Segment LED identification keys, press the 1 key to show the 1, press 2 to display 2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:236216
    • 提供者:蔡宇佳
  1. SEVEN_SEGMENT_DISPLAY

    1下载:
  2. keil下,c8051f020七段数码管显示程序-keil under, c8051f020 Seven-Segment LED display program
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:29661
    • 提供者:skyblue
  1. display

    0下载:
  2. vhdl,七段数码管驱动程序,完成数字显示功能-vhdl, seven-segment digital tube driver, complete the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:86285
    • 提供者:王晓虎
  1. ex5-1

    0下载:
  2. 程序描述:这个范例说明如何使用8051 的Port 1连接到四颗七段显示器,PORT 0的低4位分别控制这四颗共阳七段显示器,让四颗共阳七段显示器使用扫描的方式轮流显示数字。这四颗七段显示器将显示出自数字时钟PORT 2的P2.0和P2.1分别连接到2个弹跳按钮。*/-Procedure Descr iption: This example shows how to use the 8051 Port 1 connected to four seven-segment display, PORT
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:13717
    • 提供者:luheshan
  1. ex4-10

    0下载:
  2. 程序描述:这个范例说明如何使用8051,以及汇编语言的程序连结, 当我们按下向上数的按钮之后,程序就会把0到9的共 阳7段显示器字型码存入AT93C46;如果我们按下向下 数的按钮之后,程序就会把9到0的共阳7段显示器字 型码存入AT93C46。 程序每一次重新开始执行时(Power on 或是Reset),8051 会先从AT93C46当中读出最前面的10个位组,然后 就反复地将这10个位组的数据经由PORT 1送到1个 共阳七段显示器。*/-Procedur
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:16679
    • 提供者:luheshan
  1. Seven-Segment-Decoder

    0下载:
  2. 用VHDL语言在FPGA上实现将十进制bcd码转换成七段led显示码-FPGA using VHDL language to achieve will be converted to decimal bcd yards led seven segment display code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:533
    • 提供者:吴金通
  1. tossboard

    0下载:
  2. two assembly source codes that uses a toss board and a seven segment with twinkling diods PIC16F87X pushing a button allows the counter to count from 0 to 6 and show it on both the segment board and the toss board or individually.
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:1355
    • 提供者:soroush
  1. Jing

    0下载:
  2. 编程从键盘输入一位十进制数字(0~9),在七段数码管上显示出来。-Programming from the keyboard input a decimal number (0 ~ 9), in the seven-segment digital tube display.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:14704
    • 提供者:杨洁
  1. calculator

    0下载:
  2. 课设一个,又臭又长,是一个用verilog编写的计算器,对应革新科技的某个sopc开发平台,键盘会扫描,七段二极管会译码且是并行输出,上传的是整个工程,在该开发平台上基本正常,主程序段编写的较为幼稚,希望大家多多扔玉。注:主程序段预计做八位计算器,后来因为实验平台只有六个数码管无奈之下后两位没接,主程序中的ac有问题,在开发平台上没效果,压缩包里的图是主程序在quartus下的仿真图,开发环境是quartus,不知应选哪项。最后:初次上传欢迎指正 -Set up a class, but als
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10809099
    • 提供者:raven
  1. Seven-Segment

    0下载:
  2. 七段码显示,掌握了七段数码管动态显示的方法,对以后的实际应用才能打下良好的基础,通过学习A/D转换、传感器方面的知识,结合已学过的模拟电子、数字电子课程,可以实现温度检测控制、电子称制作、时钟显示等多方面的设计-Seven-Segment code shows that seven-segment digital tube mastered the dynamic display method, the practical applications of the future in order
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:2541
    • 提供者:xhl
  1. Seven-segment-display-decoder

    0下载:
  2. 七段显示译码器 因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。 -Seven-segment display decoder because the computer output is BCD code, in order to display in the digital tube decimal numbe
  3. 所属分类:Compiler program

    • 发布日期:2017-03-22
    • 文件大小:3148
    • 提供者:jlz
  1. seven segment 2 digit

    0下载:
  2. programmed in KEIL C for TWO DIGIT of SEVEN SEGMENT LED
  3. 所属分类:其他

    • 发布日期:2017-12-25
    • 文件大小:8192
    • 提供者:Hanu
« 12 3 4 5 6 7 8 9 10 ... 37 »
搜珍网 www.dssz.com