CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - shift VH

搜索资源列表

  1. LPT.rar

    0下载:
  2. 实现开漏输出的并口,支持3.3V或5V,支持FPGA 的PS 配置功能。8位配置数据 自动移位输出,输入时钟24MHz,产生1MHz配置时钟。8位CPU数据总线接口, 11位地址总线。支持IO 的置位清除功能。,The realization of open-drain output of the parallel port, support 3.3V or 5V, support for FPGA configuration of the PS function. 8-bit config
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2576
    • 提供者:tianrongcai
  1. barrel_shifter

    1下载:
  2. VHDL实现的桶型移位器,能在一个时钟周期实现对数据的(0-12位)算术右移-VHDL implementation of a barrel—shifter, able to achieve at one clock cycle of data (0-12 bit) Arithmetic Shift Right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:810
    • 提供者:过时无双
  1. T3_1

    0下载:
  2. 一个4比特移位寄存器,活跃在不断上升的边缘的时钟。登记应能转移左、右移,接受连续剧和平行(负荷)输入,而有一个异步预设(“1111”)和清晰的(“0000”)的能力。-a 4-bit shift register which is active on the rising edge of the clock. The register should be able to shift left, shift right, accept a serial and parallel (load) i
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:26892
    • 提供者:sunzhongyuan
  1. shift

    0下载:
  2. 用VHDL实现一个移位寄存器,是初学者需要掌握的一个简单的程序写法-Using VHDL realization of a shift register is available for beginners need a simple program written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:289016
    • 提供者:波波
搜珍网 www.dssz.com