CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - shift register

搜索资源列表

  1. shift_register.用Verilog实现的移位寄存器

    1下载:
  2. 用Verilog实现的移位寄存器,可以实现左移、右移等功能,Using Verilog implementation of the shift register, you can achieve the left, shifted to right and other functions
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:2967
    • 提供者:huhahuha
  1. shift-register-sequences

    0下载:
  2. 一本介绍产生移位寄存器序列的经典书籍!欢迎下载阅读-Introduce a shift register sequence generated classic books! Welcome to download reading
  3. 所属分类:GIS program

    • 发布日期:2017-04-09
    • 文件大小:1974717
    • 提供者:李俊
  1. Sequence-detector-design

    1下载:
  2. 序列检测器设计的思路大多都是用FSM来实现的,此思路是通过移位寄存器来实现序列检测-Sequence detector design ideas are often used to achieve the FSM, the idea is to achieve through the shift register sequence detection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:31189
    • 提供者:lsp
  1. HDB3

    0下载:
  2. 用verilog HDL语言,通过一个4位移位寄存器实现一个信号转化为HDB3码并进行测试 -Using verilog HDL language, through a 4-bit shift register realization of a signal into HDB3 code and test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:940
    • 提供者:
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,matlab 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, matlab source code.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1183
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,matlab 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, matlab source code.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1392
    • 提供者:李辛
  1. R

    0下载:
  2. 双向移位寄存器的原理设计程序,对于初学者将会有很大帮助,尤其在设计功能比较复杂的FPGA时,有些问题其实用这个就很简单-The principle of bi-directional shift register the design process, for beginners there will be a great help, especially in the design features of the FPGA more complex, there are some proble
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2628
    • 提供者:lijq
  1. temp

    0下载:
  2. 注意:只有C代码。串行驱动led显示,一个74hc595位移寄存器驱动三极管驱动led位,两个74hc595驱动led段,方式位5位x8段x2=10个数码管5分频,每次扫描时间位1.25ms-Note: Only C code. Serial driver led display, a shift register 74hc595 drive transistor drive led, and led two drives 74hc595 paragraph, ways x8 paragraph
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:3093
    • 提供者:wilsonhuang
  1. ShiftRegister

    0下载:
  2. Shift register verilog code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-12
    • 文件大小:556
    • 提供者:selcuk
  1. shift

    0下载:
  2. 该移位寄存器的功能是寄存输入数据,并在控制信号作用下将输入数据移位输出。-The function of the shift register are input data storage, and under the control signal at the input of data output shift.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:39510
    • 提供者:伍家香
  1. project

    0下载:
  2. synthesizable code for shift register of user defined size
  3. 所属分类:Document

    • 发布日期:2017-04-14
    • 文件大小:2882
    • 提供者:krupal
  1. Register

    0下载:
  2. -- Universal Register -- This design is a universal register which can be used as a straightforward storage register, a bi-directional shift register, an up counter and a down counter. -- The register can be loaded from a set of parallel data in
  3. 所属分类:GIS program

    • 发布日期:2017-04-06
    • 文件大小:3704
    • 提供者:djs
  1. shift

    0下载:
  2. Simple shift register with testbench in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:839
    • 提供者:Tukan
  1. shiftregister

    0下载:
  2. Shift Register. VHDL code and its testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1193
    • 提供者:mehmet
  1. UniversalRegister

    0下载:
  2. 普通的缓冲器 这种设计是一个普通的缓冲器,可以做一个直接的缓冲器,也可以做一个双向的转移缓冲器,还可以做一个递增的计数器和递减计数器-Universal Register This design is a universal register which can be used as a straightforward storage register, a bi-directional shift register, an up counter and a down counter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:953
    • 提供者:杜翔
  1. shift

    0下载:
  2. 用VHDL实现一个移位寄存器,是初学者需要掌握的一个简单的程序写法-Using VHDL realization of a shift register is available for beginners need a simple program written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:289016
    • 提供者:波波
  1. register-vcode

    0下载:
  2. shift register verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:6071
    • 提供者:praveen
  1. Shift-register

    0下载:
  2. 两种移位寄存器——通用和桶形移位寄存器,用硬件描述语言Verilog编写,适合初学者。-Two kinds of shift register- common and barrel shift register in Verilog hardware descr iption language, suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1569
    • 提供者:李菲
  1. Shift Register_0906

    0下载:
  2. 8 bit shift register
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:4096
    • 提供者:SamTseng
  1. Shift

    0下载:
  2. ASM code for shift register
  3. 所属分类:串口编程

    • 发布日期:2018-05-01
    • 文件大小:1298432
    • 提供者:Sintu123
« 12 3 4 5 6 7 8 9 10 ... 29 »
搜珍网 www.dssz.com