CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sin vhdl

搜索资源列表

  1. sin

    0下载:
  2. sin產生器,可以於VHDL產生sin之數值波形,進而輸出至dac做轉換
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1084368
    • 提供者:lin
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. sin

    0下载:
  2. 基于Quartus II 5.0编写的正弦波发生器,可控频率,用vhdl编写的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:475864
    • 提供者:uuk
  1. cos.rar

    1下载:
  2. 原创:cos函数和sin函数的VHDL实现,很实用,cos of the VHDL implementation
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-02
    • 文件大小:2674
    • 提供者:123
  1. sin.rar

    0下载:
  2. 用Verilog语言在FPGA内实现一256个采样点的正弦波,已尝试,挺好用的~~~,Verilog language used in the FPGA to achieve one of the 256 sampling points sine wave, I have already tried it, very useful~ ~ ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:105104
    • 提供者:tianwaifei86
  1. singnal

    0下载:
  2. VHDL实现通用通信信号源,包括sin,cos,方波,三角波,BPSK,GMSK,ASK,16QAM等信号的产生以及DDS,PLL的VHDL系统代码-VHDL implementation of universal communication sources, including sin, cos, square, triangle, BPSK, GMSK, ASK, 16QAM and other signal generation and DDS, PLL system, the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1417
    • 提供者:张泽端
  1. RomNCO

    1下载:
  2. 基于NCO的数字控制振荡器。带测试程序,输出12位的COS和SIN波形。-Based on the digital control oscillator NCO. With test procedures, the output 12 of the COS and the SIN waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-22
    • 文件大小:30074
    • 提供者:咚咚
  1. SIN

    0下载:
  2. 使用VHDL语言和CPLD芯片生成39KHz的信号-The use of VHDL language and CPLD chip 39KHz signal generated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:219195
    • 提供者:Beyond
  1. ccf

    0下载:
  2. 实现SIN、COS的语言,基于CORDIC的应用-The realization of SIN, COS language, based on the application of CORDIC
  3. 所属分类:3G develop

    • 发布日期:2017-05-10
    • 文件大小:2279012
    • 提供者:陈静波
  1. sin.tar

    0下载:
  2. 神奇的sin波生成verilog源码,非常简单的代码无需乘法即可生成sin,cos,值得搞算法的人借鉴-Magic sin wave generated Verilog source code, the code is very simple multiplication can be generated without sin, cos, worthy people from engaging in algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1946
    • 提供者:yangyu
  1. custom_cordic

    0下载:
  2. verilog编程开发的cordic例程,计算SIN,COS功能与计算幅值角度功能可设定,运算宽度可设定,并有完善的TESTBENCH。-Verilog programming developed CORDIC routines to calculate SIN, COS function and calculating the amplitude of the perspective of function can be set, computing the width can be set,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:120544
    • 提供者:yangyu
  1. cordic

    1下载:
  2. cordic methods describe essentially the same algorithm that with suitably chosen inputs can be used to calculate a whole range of scientific functions including sin, cos, tan, arctan, arcsin, arccos, sinh, cosh, tanh, arctanh, log, exp, square root a
  3. 所属分类:Algorithm

    • 发布日期:2017-03-23
    • 文件大小:1855
    • 提供者:waqas
  1. CordicNCO

    0下载:
  2. 基于CORDIC算法的,数字控制振荡器的设计。带测试程序,输入一个振荡频率,输出SIN和COS的波形!-Based on the CORDIC algorithm, the digital controlled oscillator design. With test procedures, enter a oscillation frequency, the output waveform SIN and COS!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4056
    • 提供者:咚咚
  1. sin

    0下载:
  2. 用VHDL编写的实现EDA实验中显示sin波形代码。简单易懂,应该对大家都有帮助-VHDL prepared with the realization of the experiment showed that EDA code sin waveform. Easy-to-read, should help to everyone
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:787
    • 提供者:林怡
  1. sin_cos

    0下载:
  2. Sin & Cos generator (one from DSP steps)
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:39232
    • 提供者:jools
  1. dds-sin-generator

    0下载:
  2. 正铉波发生器 dds 一共有8个vhdl文件组成。其中dds为头文件-dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:6704
    • 提供者:李磊
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:17414
    • 提供者:爱好
  1. sin

    0下载:
  2. sin正弦波的产生 DDS FPGA VHDL语言-sin sine wave generation DDS FPGA VHDL language
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:1732025
    • 提供者:王盛力
  1. sin

    1下载:
  2. QUARTUSS||环境下的简易正弦信号发生器的设计,VERILOG 代码,用到了嵌入式逻辑分析仪-QUARTUSS | | environment simple sinusoidal signal generator, VERILOG code, use the embedded logic analyzer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2955535
    • 提供者:sujiebin
  1. sin

    0下载:
  2. 用vhdl语言编写的余弦函数,-Vhdl language with the cosine function. . . . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1322
    • 提供者:老郑
« 12 3 4 »
搜珍网 www.dssz.com