CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - uart testbench vhdl

搜索资源列表

  1. UART

    2下载:
  2. 内含有完整的UART代码,包括发送和接受,且有testbench,可以直接仿真调试-Contain complete UART code, including send and receive and there testbench, can directly Simulation debugging
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:9094
    • 提供者:李佳
  1. UARTtransmitter

    0下载:
  2. UART Transmitter. VHDL code and its testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2475
    • 提供者:mehmet
  1. uart-vhdl-testbench

    0下载:
  2. simple uart vhdl behavioural model (package) vhdl testbench example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1908
    • 提供者:Mark
  1. UART

    0下载:
  2. 用VHDL编写实现的UART控制器源码,自带testbench,解压后用ISE打开工程文件即可。-Prepared with the VHDL source code to achieve the UART controller, bring their own testbench, after decompression project file can be opened with the ISE.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:25846
    • 提供者:陈阳
  1. uart2bus_latest.tar

    0下载:
  2. 文档详尽、已验证的UART工程,含有testbench文件。采用VHDL、Verilog语言编写。-Detailed documentation, has proven UART works with testbench file. Using VHDL, Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:224229
    • 提供者:robin
  1. uartlvds

    0下载:
  2. UART VHDL sources with FIFO-UART VHDL sources with FIFO,baudrate,receiver,transmitter,register,testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:11961
    • 提供者:毕向伟
搜珍网 www.dssz.com