CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - urat

搜索资源列表

  1. URAT_VHDL

    0下载:
  2. URAT VHDL程序与仿真 各程序运行环境为MAXPLUS_-UART procedures and VHDL simulation environment for the operation of the procedures for MAXPLUS_
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:93045
    • 提供者:王光辉
  1. digitalinterfaceuart

    0下载:
  2. 文件说明了在fpga/cpld中怎样实现数据接口及其实例了urat-note of the document they simply / cpld How Data Interface and the examples of urat
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2954
    • 提供者:liu
  1. URATVHDL

    0下载:
  2. 项目中自己设计的URAT的VHDL源程序及仿真,已经通过了编译和仿真,有项目用的到的可参考下。-projects of their own design URAT VHDL source code and the simulation had been passed to build and simulation, The project is the reference to the next.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:32625
    • 提供者:小令
  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. urat

    0下载:
  2. 介绍了如何实现URAT。介绍了如何用VERILOG代码实现URAT。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:22792
    • 提供者:xiexiao
  1. URAT

    0下载:
  2. URAT的VHDL设计及时序仿真、调试、测试。含有波形图
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2304
    • 提供者:xiaoxi
  1. FPGA-URAT.rar

    0下载:
  2. FPGA与PC串口自动收发程序,verilog源程序,FPGA and the PC serial port automatically sending and receiving process, verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2589
    • 提供者:niuqs
  1. URAT

    0下载:
  2. Verilog硬件描述语言,RS232串口发送接收程序-Verilog hardware descr iption language, RS232 serial port send and receive program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1487
    • 提供者:zhaoyf
  1. VHDLprogram

    0下载:
  2. VHDL的程序包,包括LED控制,LCD控制、DAC0832接口电路、URAT、FSK\PSK\MASK调制、波形发生器等。适合工程参考-VHDL package, including the LED control, LCD control, DAC0832 Interface Circuit, URAT, FSK \ PSK \ MASK modulation, such as waveform generator. Reference for the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1133924
    • 提供者:
  1. urat

    0下载:
  2. tell you how to use the msp430f149 urat
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:87011
    • 提供者:mo
  1. URAT_VHDL

    0下载:
  2. URAT VHDL程序与仿真,包括顶层程序与仿真,波特率发生器VHDL程序, UART发送器程序与仿真,UART接收器程序与仿真-URAT VHDL procedures and simulation, including the top-level procedures and simulation, VHDL program baud rate generator, UART transmitter and simulation program, UART receiver and simu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:32302
    • 提供者:葛棋棋
  1. uart

    0下载:
  2. urat 接收模块,大家看看,多多交流-urat
  3. 所属分类:Com Port

    • 发布日期:2017-04-14
    • 文件大小:5191
    • 提供者:y
  1. URAT-VHDL

    0下载:
  2. 关于URAT的VHDL程序设计与仿真,仿真通过-With regard to URAT the VHDL program design and simulation, simulation by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:32936
    • 提供者:海玲
  1. urat

    0下载:
  2. 8051串口驱动,简易接口,与MAX232使用-max232
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:2198
    • 提供者:程门门
  1. URAT-VHDL

    0下载:
  2. URAT VHDL程序与仿真,各位可以利用一下,或者参考一下-URAT VHDL and simulation program, you can look at, or reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2112
    • 提供者:周三强
  1. mini-UART

    0下载:
  2. URAT资料,用verilog HDL编写,具有完整的信号描述和功能-URAT data write complete signal descr iption and function, with verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:51710
    • 提供者:牛玉祥
  1. 8.8-URAT-VHDL

    0下载:
  2. URAT VHDL程序与仿真 URAT the VHDL program and Simulation-URAT the VHDL program and Simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:32778
    • 提供者:ll
  1. URAT

    0下载:
  2. 使用VHDL程序,编写的URAT 与仿真功能-The use of VHDL procedures and simulation functions written URAT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:32332
    • 提供者:ludongdong
  1. URAT-code

    0下载:
  2. 使用Verilog HDL语言编写的URAT接口代码,实现串行数据传输功能-UART of Verilog HDL code to realize serial communication functio by Simon of Shenzhen University.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1016
    • 提供者:Simon
  1. URAT-VHDL

    0下载:
  2. URAT VHDL程序与仿真,本文为DOC文档,附有源码和仿真波形-URAT VHDL program and simulation, this paper for the DOC document, attached to the source code and simulation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:36651
    • 提供者:hell
« 12 3 4 5 »
搜珍网 www.dssz.com