CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 电子钟

搜索资源列表

  1. digtalclk

    1下载:
  2. 用Altera公司的QuartusII编写的电子钟程序,可以下载至开发板,实现一个智能数字钟功能,计时,校时,闹钟,跑表等功能,也可用于学习verilog HDL语言与数字逻辑
  3. 所属分类:家庭/个人应用

    • 发布日期:2014-01-17
    • 文件大小:2093715
    • 提供者:张欢
  1. Verilog(clock)

    0下载:
  2. 用VERILOG语言编写的电子钟程序.是用GW48教学实验箱仿真
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:7565
    • 提供者:阿洪
  1. 简易电子钟

    0下载:
  2. 使用数码管动态显示方式实现简易电子钟: 显示格式:XX XX XX XX — 时 分 秒 按reset健 初始为12:00:00 全使用verilog语言
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2011-02-03
    • 文件大小:684312
    • 提供者:ikedodo
  1. 基于verilog HDL语言的电子钟

    0下载:
  2. 基于verilog HDL语言的电子钟,多功能电子时钟,Verilog HDL language-based electronic bell, electronic multi-function clock
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-28
    • 文件大小:185082
    • 提供者:哈哈
  1. eda

    0下载:
  2. 利用ATMEL公司的QUETUSii软件编写的verilog语言程序,实现一个带复位、调整时间功能的电子钟,以数码管显示时间,调整时间时调整位闪烁-ATMEL Corporation QUETUSii using software written in verilog language program, the realization of a zone reset, adjust the time function of the electronic clock to digital disp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1591372
    • 提供者:秦玉龙
  1. clock

    0下载:
  2. verilog 实现的跑表程序。可以对这个程序加以修改,可是显现电子钟的设计。设计可以根据需要实现分秒。同时可以改成是LED的跑等程序。功能强大的很!-verilog implementation stopwatch program. This procedure can be modified, but the show clock designs. Design can be according to the need to achieve every second. At the same
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:353176
    • 提供者:number1
  1. a_block_with_several_functions_with_Verilog_HDL.ra

    1下载:
  2. Verilog是广泛应用的硬件描述语言,可以用在硬件设计流程的建模、综合和模拟等多个阶段。随着硬件设计规模的不断扩大,应用硬件描述语言进行描述的CPLD结构,成为设计专用集成电路和其他集成电路的主流。通过应用Verilog HDL对多功能电子钟的设计,达到对Verilog HDL的理解,同时对CPLD器件进行简要了解。 本文的研究内容包括: 对Altera公司Flex 10K系列的EPF10K 10简要介绍,Altera公司软件Max+plusⅡ简要介绍和应用Verilog HDL对多功能
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-05
    • 文件大小:482983
    • 提供者:li
  1. clock

    0下载:
  2. 数字电子钟的Verilog HDL语言描述。-Digital electronic clock Verilog HDL language to describe.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:273454
    • 提供者:zhouming
  1. digi_clock

    0下载:
  2. 用VERILOG编写的数字电子钟,用数码管进行显示时间-VERILOG prepared with digital electronic clock with a nixie tube display time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3525
    • 提供者:黄涛
  1. EDAclock

    0下载:
  2. 基于verilog的fpga电子钟设计 有时分秒显示 及闹钟功能-Based on the electric clock verilog FPGA design into four modules that sometimes the alarm clock function determined.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5515
    • 提供者:子衿
  1. verilog

    0下载:
  2. 电子钟Verilog语言-电子钟Verilog语言。。。。。。。。。。。。。。。。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:57282
    • 提供者:wolf
  1. digitalclock

    1下载:
  2. 数字电子钟,具有显数和调时功能。 语言为verilog HDL,平台为quartes 2-digital clock
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:365050
    • 提供者:lzh
  1. clock

    0下载:
  2. 用Verilog HDL编写的电子钟,实现一些简单功能,包括计时,调时-Written in Verilog HDL using electronic clock to achieve some simple functions, including timing, tone, when
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:672148
    • 提供者:liu
  1. LCD1602_Driver

    0下载:
  2. 自己课设上写的基于Verilog的LCD1602驱动器,能自定义字符,16x2显示位均已引出,可以用于纯硬件的电子钟等显示-To write their own lessons based on the LCD1602-based Verilog driver can customize the character, 16x2 display spaces have led to, can be used for pure hardware such as an electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1765
    • 提供者:Royal Wang
  1. my_clock

    0下载:
  2. 使用verilog HDL语言编写的时钟电路代码,能实现24小时电子钟的功能。-Using verilog HDL code written in the clock circuit can achieve 24-hour clock function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:257892
    • 提供者:周朝
  1. 123

    0下载:
  2. Verilog是广泛应用的硬件描述语言,可以用在硬件设计流程的建模、综合和模拟等多个阶段。随着硬件设计规模的不断扩大,应用硬件描述语言进行描述的CPLD结构,成为设计专用集成电路和其他集成电路的主流。通过应用Verilog HDL对多功能电子钟的设计,达到对Verilog HDL的理解,同时对CPLD器件进行简要了解。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:600669
    • 提供者:
  1. clock

    0下载:
  2. 用verilog语言编写的一个电子钟。能够显示时分秒,具有清零,设置时间的功能。-Verilog language with an electronic clock. Can display minutes and seconds, with a clear, set the time function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1174
    • 提供者:刘俊斌
  1. clock

    0下载:
  2. verilog 电子钟!!! 用于初学者学习-Electronic clock design Electronic clock design Electronic clock design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3514
    • 提供者:叶淼胤
  1. My-szz-Verilog

    0下载:
  2. 用硬件描述语言编写的电子钟程序,并可以在试验箱上面实现的-Electronic clock program using a hardware descr iption language, and can be achieved in the chamber above the
  3. 所属分类:Other systems

    • 发布日期:2017-11-15
    • 文件大小:790459
    • 提供者:郭靖
  1. 数电开放实验

    0下载:
  2. 基于Basys2的带测温、定闹钟、温度上下限报警装置的数字电子钟,可自动处理闰年闰月。(Basys2 temperature measurement, alarm clock, temperature alarm device based on digital electronic clock, can automatically handle leap year leap.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1249280
    • 提供者:qqqwwwee
« 12 »
搜珍网 www.dssz.com