CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog PS2

搜索资源列表

  1. verilog-PS2.rar

    0下载:
  2. 在FPGA内,实现PS2键盘数据读取功能,verilog源代码,In the FPGA, achieving PS2 keyboard data read functions, verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2009
    • 提供者:niuqs
  1. Libra_ps2key_lcd.rar

    0下载:
  2. 用Verilog语言实现的PS2小键盘输入和1602 LCD显示的功能。无需修改,已经调试通过了。直接可以当成一个模块用于FPGA/CPLD系统开发过程。 这个代码是我在Libra环境下开发Actel FPGA时写的。,Verilog language using the PS2 keyboard and a small 1602 LCD display features. No changes have been adopted debugging. Directly as a module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:5877
    • 提供者:赵二虎
  1. PS2

    0下载:
  2. 此代码是PS2键盘的Verilog程序,键盘的字符可显示在LCD 1602上,经上板调试程序是可行的-This code is a PS2 keyboard Verilog program, keyboard characters can be displayed on the LCD 1602, after the board debug process is feasible
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:8723
    • 提供者:Evan Xie
  1. PS2

    0下载:
  2. 基于EPM1270的PS2键盘鼠标驱动源码Verilog-Based on the EPM1270 the PS2 keyboard and mouse-driven Verilog source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-30
    • 文件大小:479345
    • 提供者:cx
  1. ps2

    0下载:
  2. 鼠标的verilog源代码 ,对初学者有很大帮助-Mouse Verilog source code, there is very helpful for beginners
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:2786
    • 提供者:Jackie
  1. ps2_keyboard

    0下载:
  2. FPGA PS2键盘驱动设计,使用软件QuartusII6.0 verilog-FPGA PS2 keyboard-driven design, the use of software QuartusII6.0 verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:832002
    • 提供者:张一
  1. ps2

    1下载:
  2. 基于Verilog开发的PS2的接口设计,可以模拟PS2的鼠标和键盘的接口!-Verilog-based development of the PS2 interface design, can simulate the PS2 mouse and keyboard interface!
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:10137
    • 提供者:sq
  1. ps2_mouse

    0下载:
  2. ps2鼠标驱动,verilog 编写,代码很短,上电初始化后即可使用-ps2 mouse driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1863
    • 提供者:long Xu
  1. pong

    0下载:
  2. Pong is a mixed schematic, VHDL, Verilog project featuring the PS2 and VGA monitor connections of the Xilinx\Digilent Spartan-3 demo board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:75252
    • 提供者:wangfeng
  1. Ps2-ALL

    0下载:
  2. PS2键盘鼠标接口控制实现电子琴功能,verilog hdl 编写-PS2 keyboard and mouse interface to control the realization of organ function, verilog hdl prepared
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1058538
    • 提供者:rutingfeng
  1. S7_PS2_RS232

    0下载:
  2. 利用cpld作为cpu控制器将ps2中取得按键值通过串口传送给pc机-cpld verilog ps2 UART
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:1438790
    • 提供者:wphyl
  1. Visio-schemat_blokowy_niezawodno____

    0下载:
  2. ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:38863
    • 提供者:Mat
  1. ps2

    0下载:
  2. PS2键盘通信,VERILOG实现的,比较好的哦-PS2 keyboard communications, VERILOG realized, oh good
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:601330
    • 提供者:洪依
  1. PS2_Demo

    0下载:
  2. ps2 keyboard verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:742310
    • 提供者:kin
  1. verilog-PS2-Keyboard

    0下载:
  2. veirlog编写的PS2键盘通讯程序, 并有PS2接口的相关说明, Quartus II 8.1工程文件-veirlog written communication procedures PS2 keyboard, and a PS2 interface instructions, Quartus II 8.1 project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:511555
    • 提供者:Joseph
  1. ps2

    0下载:
  2. PS2 keyboard with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:294262
    • 提供者:farshad
  1. PS2_12864_3

    0下载:
  2. ps2接口键盘,控制12864液晶显示,显示温度等-Ps2 interface keyboard, control 12864 LCD display, display temperature, etc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2287662
    • 提供者:郑海波
  1. ps2

    0下载:
  2. verilog PS2键盘解码程序, 之前探讨过PS/2键盘编解码以及数据传输协议,这次自己动手实现了利用FPGA接收键盘编码,然后通过串口传输到PC。做的比较简单,只是通过FPGA把大写字母A-Z转换成相应的ASCII码,只要字母按键被按下,就能在串口调试助手里显示相应大写字母。下面就共享代码吧!   除了顶层模块,三个底层模块分别为PS/2传输处理模块、串口传输模块以及串口波特率选择模块(下面只给出顶层模块和PS/2传输处理模块的verilog代码)。-verilog PS2 Ke
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:1490
    • 提供者:刘晓青
  1. 外设控制入门篇09:PS2接口控制实验

    0下载:
  2. ps2接口的简单测试与功能演示代码 已经测试过的(ps2Ps2 interface simple and functional demo code has been tested)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:3605504
    • 提供者:我心永恒
  1. PS2鼠标VGA画笔

    0下载:
  2. 鼠标连接到FPGA开发板PS2, 通过VGA显示鼠标移到。(The mouse is connected to the FPGA development board PS2, and the mouse is moved through the VGA.)
  3. 所属分类:嵌入式/单片机编程

« 12 3 4 5 6 7 »
搜珍网 www.dssz.com