CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog comparator

搜索资源列表

  1. comparator.rar

    0下载:
  2. 一个verilog源代码,作用是比较器的实验程序。,A verilog source code, the role of the experimental procedures comparator.
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-03-29
    • 文件大小:67638
    • 提供者:陈思迪么
  1. Verilog

    0下载:
  2. 一些用verilog编写的小程序,有全加器,计数器,比较器VGA显示,键盘扫描等-Some small programs written using verilog have full adder, counter, comparator VGA display, keyboard scanning, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8904220
    • 提供者:于苏
  1. compare8

    0下载:
  2. 一个用Verilog语言实现的八位二进制数比较器。包含工程文件和实现文档。-One with the Verilog language implementation of the eight binary comparator. And the achievement of the document contains the project file.
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-06
    • 文件大小:102153
    • 提供者:文闯
  1. comp

    0下载:
  2. 数值比较器,Verilog实现,带具体实验说明文档。-Numerical comparator, Verilog realization of experiments with specific documentation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:755657
    • 提供者:mypudn0001
  1. chengxu

    0下载:
  2. 加法器 比较器verilog hdl 等简单小程序 新手学习中 见谅-Adder comparator verilog hdl Adder comparator verilog hdl a small way as simple novice learning apologize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:835
    • 提供者:张俊
  1. compare

    0下载:
  2. 一个用verilog写的基本的比较器,其中带了一些其他的电路,也是用verilog编的,希望对读者有用。-Use verilog to write a basic comparator, which brought a number of other circuits, but also with the verilog code, and I hope useful to readers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:125497
    • 提供者:lixu
  1. comp4

    0下载:
  2. 用verilog编了一个比较器,开发环境是xilinx ise10.1-Verilog compiled using a comparator, the development environment is the xilinx ise10.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:330684
    • 提供者:wupeixin
  1. comparator

    0下载:
  2. 8位二进制的数值比较器,这是用verilog hdl语言中的行为建模写的-8-bit binary value of the comparator, which is used in the verilog hdl behavioral modeling language to write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:147190
    • 提供者:黄启
  1. Simple_Verilog_Code_For_Beginner

    0下载:
  2. verilog code for beginner (adder, comparator, mux, or, and subtractor)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1160
    • 提供者:abanuaji
  1. comparator_4bit

    0下载:
  2. Basic 4-bit Comparator project in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3003458
    • 提供者:luis
  1. comp

    0下载:
  2. verilog FPGA060 比较器实验例程和文档-verilog FPGA060 comparator test routines and documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:109489
    • 提供者:123456
  1. compare

    0下载:
  2. 比较器,四位的比较器,verilog的语言编写的,可以用-The comparator, the comparator four, Verilog language, can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:244760
    • 提供者:hx
  1. comparator

    0下载:
  2. 使用verilog语言,在FPGA开发工具ISE上实现比较器功能。-The use of Verilog language, in FPGA ISE development tools to achieve the comparator function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:629395
    • 提供者:丁帅
  1. magnitude-comparator-and-mux

    0下载:
  2. Magnitude comparator and multiplexer codes in Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:711
    • 提供者:pravat
  1. verilog-code-FOR-COMPARATOR--TFF-AND-BCD-TO-7SSD.

    0下载:
  2. // File : 4 Bit Comparator design using behavior modeling style.v-// File : 4 Bit Comparator design using behavior modeling style.v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-10
    • 文件大小:2048
    • 提供者:dhishna
  1. mixed-language--desvription-of-a-4x4-comparator.z

    0下载:
  2. mixed language (i.e VHDL and verilog ) is used to compute 4x4 comparator.. vhdl full adder is imported to verilog main module.
  3. 所属分类:software engineering

    • 发布日期:2017-04-12
    • 文件大小:754
    • 提供者:naz
  1. Comparator

    0下载:
  2. Verilog program for an 8bit up down counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:465589
    • 提供者:tom
  1. comparator

    0下载:
  2. COMPERATOR 2位比较器,含测试(COMPERATOR 2 bit comparator, including testbanch)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:1024
    • 提供者:sunyp24
  1. vivado

    2下载:
  2. 用中规模MSI基本逻辑功能模块 实现关模比较器(要求分别使用中规模和语言实现): 功能要求:它的输入是两个8位无符号二进制整数X和Y,以及一个控制信号S;输出信号为1个8位无符号二进制整数Z。输入输出关系为:当S=1时, Z=min(X,Y);当S=0时, Z=max(X,Y)。(Modeling comparator is implemented by using basic logic function modules of medium-scale MSI (medium-scale an
  3. 所属分类:微处理器开发

    • 发布日期:2020-05-12
    • 文件大小:10240
    • 提供者:瘾1581
  1. test1

    1下载:
  2. 该程序实现的是一个比较器,输入两个数字,进行比较,将结果输出(The program implements a comparator that inputs two numbers, compares them, and outputs the results.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-07-04
    • 文件大小:33792
    • 提供者:hello_tr
« 12 »
搜珍网 www.dssz.com