CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog fpga light

搜索资源列表

  1. 数字频率计实验报告

    0下载:
  2. 课程设计要求设计并用FPGA实现一个数字频率计,具体设计要求如下: 测量频率范围: 10Hz~100KHz 精度: ΔF / F ≤ ±2 % 系统外部时钟: 1024Hz 测量波形: 方波 Vp-p = 3~5 V 硬件设备:Altera Flex10K10 五位数码管 LED发光二极管 编程语言:Verilog HDL / VHDL-curriculum design and FPGA design to achieve a digital frequency meter,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:144900
    • 提供者:
  1. 基于FPGA的verilog语言的10种流水灯的设计报告

    2下载:
  2. 基于FPGA的verilog语言的10种流水灯的设计报告,有相应的方框图和具体的程序解释-FPGA-based verilog language of the 10 water light design report, there is a corresponding block diagram and detailed explanation of the procedures
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-25
    • 文件大小:89088
    • 提供者:柳沐璇
  1. DE0_NANO_GSensor

    1下载:
  2. 该代码利用DE0 nano上面的ADI ADXL345三轴重力传感器实现重力感应,根据偏转角度的不同点亮相应方向上面的LED灯,稍加修改,还能够将各个方向上面的重力加速度值实时显示,希望大家喜欢-The code used DE0 nano gravity above the ADI ADXL345 three-axis accelerometer sensors to achieve according to the deflection angle of light in different
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:45368
    • 提供者:王国庆
  1. led

    0下载:
  2. 适用于FPGA初学者,一个流水灯的程序,用VERILOG语言写的.-Applicable to FPGA beginners, a procedure for light water, using the Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:218067
    • 提供者:
  1. jtd

    0下载:
  2. 这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断-The verilog language is FPGA-based traffic light controller, respectively, the four direction control of traffic lights-off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:48263
    • 提供者:jyb
  1. SwitchLed

    0下载:
  2. FPGA入门程序。适合编程初学者的学习。由开关控制LED灯的亮灭。ISE集成开发环境。Verilog HDL语言编写-FPGA entry procedures. Programming for beginners to learn. LED lights from the light switch control off. ISE Integrated Development Environment. Language Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:244860
    • 提供者:李海波
  1. led_water

    0下载:
  2. FPGA 流水灯Verilog HDL.-FPGA flow light Verilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1468
    • 提供者:程畏
  1. led_water

    0下载:
  2. Altera FPGA流水灯工程文件Verilog语言代码,作为入门级的参考程序-Altera FPGA Verilog flow light project files language code, as the entry-level reference program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:234462
    • 提供者:kiling
  1. LampsSequencer

    0下载:
  2. FPGA流水灯实验,VERILOG编写,简单的学习程序-FPGA light water experiments, VERILOG written, simple learning process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:421918
    • 提供者:小刘
  1. keyboard

    0下载:
  2. 使用FPGA verilog语言编写的键盘按键消抖程序,三个按键,控制LED亮灭-Written using the FPGA verilog keyboard debounce procedure, three buttons, the control LED light off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:23667
    • 提供者:徐俊
  1. digital-clock

    0下载:
  2. 该程序是有verilog实现的fpga的交通灯 适用于cycloneII芯片 可供fpga初学者学习verilog语言时参考,不仅可以显示时钟 还能调整时钟分针秒针-The program is a verilog realize fpga of traffic light is applicable to cycloneII chips available for beginners to learn verilog fpga languages as reference, not only
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:589334
    • 提供者:林原
  1. FPGA-traffic-light

    1下载:
  2. 基于FPGA的交通灯控制器的设计,利用的是verilog HDL 语言。-design of traffic light based on FPGA,use the veriog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1088
    • 提供者:夏勇
  1. LED-LIGHT

    0下载:
  2. FPGA verilog LED点灯 使用的是Xinlix SPARTAN-3E 的处理器-FPGA verilog LED light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-05
    • 文件大小:58346
    • 提供者:吴晨炜
  1. Verilog-traffic-light

    0下载:
  2. 该模块实现道路交通灯控制,使用verilog语言编写,在FPGA上实现。验证正确。-The module of traffic light control, the use of Verilog language, based on FPGA. Verify that the correct.
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:1017029
    • 提供者:庄德坤
  1. FPGA

    0下载:
  2. 一些verilog语言程序,可在板子上实现流水灯,计数,按键等功能。-Some verilog language program, can be achieved on the board flowing water light, count, buttons, and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:2227785
    • 提供者:王先生
  1. flash-led

    0下载:
  2. 利用verilog语言实现fpga硬件下一个简单的流水灯试验,三只灯实现流水操作,一只实现闪烁操作,非常好的入门参考经典实例-Language verilog fpga hardware utilization under a simple light water experiment, three lights to achieve pipelined to achieve a flashing operation, a very good introductory reference to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:121279
    • 提供者:havi
  1. tnn7_code_201212141110

    2下载:
  2. 人脸检测与跟踪是一个重要而活跃的研究领域,它在视频监控、生物特征识别、视频编码等领域有着广泛的应用前景。该项目的目标是在FPGA板上实现实时系统来检测和跟踪人脸。人脸检测算法包括肤色分割和图像滤波。通过计算被检测区域的质心来确定人脸的位置。该算法的软件版本独立实现,并在matlab的静止图像上进行测试。虽然从MATLAB到Verilog的转换没有预期的那样顺利,实验结果证明了实时系统的准确性和有效性,甚至在不同的光线、面部姿态和肤色的条件下也是如此。所有硬件实现的计算都是以最小的计算量实时完成的
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:63488
    • 提供者:合发
  1. 至简设计法--流水灯

    0下载:
  2. LED流水广告灯 工程说明 在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。 案例补充说明 在FPGA电路设计中,尽管流水灯的设计属于比较简单的入门级应用,但是其运用到的方法,是FPGA设计中最核心和最常用部分之一,是FPGA设计必须牢固掌握的基础知识。从这一步开始,形成良好的设计习惯,写出整洁简洁的代码,对于FPGA设计师来说至关重要。(LED flow advertising lights Engineering
  3. 所属分类:嵌入式/单片机编程

  1. 黑金Altera开发板Verilog实例教程

    0下载:
  2. 控制开发板上的4个LED灯,计数器记到4秒清零,控制LED灯依次亮(Controlling the four leds on the development board, the counter down to the 4 seconds reset, the control the LED light in turn)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:16449536
    • 提供者:意中人8383
  1. 071162程序

    1下载:
  2. 设计一个用于篮球比赛的定时器。要求: (1)定时时间为24秒,按递减方式计时,每隔1秒,定时器减1; (2)定时器的时间用两位数码管显示; (3)设置两个外部控制开关,开关K1控制定时器的直接复位/启动计时,开关K2控制定时器的暂停/连续计时;当定时器递减计时到零(即定时时间到)时,定时器保持零不变,同时发出报警信号,报警信号用一个发光二极管指示。 (4)输入时钟脉冲的频率为50MHz。 (5)用Verilog HDL语言设计,用Modelsim软件做功能仿真,用Quartus II综
  3. 所属分类:其他

    • 发布日期:2020-03-30
    • 文件大小:1972224
    • 提供者:严老板
« 12 »
搜珍网 www.dssz.com