CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog ldpc

搜索资源列表

  1. verilog LDPC encoder

    5下载:
  2. 码长1536 扩展因子64的 WIMAX的LDPC 编码器,支持5/6,2/3,3/4,3个码率,需要在顶层做参数修改
  3. 所属分类:交通/航空行业

    • 发布日期:2012-03-19
    • 文件大小:225376
    • 提供者:mariojiang
  1. LDPC译码器

    0下载:
  2. QuartusII的环境下,采用Verilog语言,对π—旋转LDPC码进行了编译码仿真,并在matlab下进行了验证。
  3. 所属分类:源码下载

    • 发布日期:2012-04-19
    • 文件大小:10801628
    • 提供者:shuixiangyq
  1. CMMB中ldpc编码器的verilog代码

    2下载:
  2. CMMB中ldpc编码器的verilog代码 CMMB中的ldpc编码器采用H矩阵的做法进行 编码,通过对H矩阵的分解进行迭代进行 编码,比较复杂。此代码为发射端的源代码, 已经应用。
  3. 所属分类:VHDL编程

    • 发布日期:2012-05-12
    • 文件大小:1700863
    • 提供者:swordmerry
  1. decode.rar

    0下载:
  2. LDPC的Verilog程序源代码,包括仿真数据等。文件很大,请慢慢下载,LDPC of Verilog source code, including the simulation data. Large file, please download slowly
  3. 所属分类:3G develop

    • 发布日期:2017-05-28
    • 文件大小:10801628
    • 提供者:陈炜炜
  1. LDPC_matlab.rar

    1下载:
  2. ldpc编码的matlab例子,比较详细,具有很高的价值,matlab coding ldpc example, more detailed, with a very high value
  3. 所属分类:matlab

    • 发布日期:2015-08-04
    • 文件大小:593515
    • 提供者:尚龙
  1. LDPC_Behavioral_VHDL

    0下载:
  2. 用VHDL语言编写的LDPC码硬件实现语言,相对于verilog的,比较简单-Using VHDL language LDPC code hardware implementation language, compared to Verilog, and relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1972
    • 提供者:王明
  1. LDPC-Verilog

    3下载:
  2. LDPC的verilog程序,含有编解码的过程-LDPC verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-04-27
    • 文件大小:10844160
    • 提供者:zhumeng
  1. H_2048x4096

    0下载:
  2. LDPC 码二进制规则码生成矩阵2048*4096,效果很理想-LDPC code rules binary code generated matrix 2048* 4096, the effect is very satisfactory
  3. 所属分类:3G开发

    • 发布日期:2013-10-12
    • 文件大小:56993
    • 提供者:wwwwomen
  1. ldcp_verilog

    2下载:
  2. ldpc verilog 程序 做ldpc硬件实现的可以-ldpc verilog procedures do LDPC hardware implementation can
  3. 所属分类:Compiler program

    • 发布日期:2017-03-23
    • 文件大小:9323
    • 提供者:nzh
  1. ldpc_decoder_802_3an.tar

    0下载:
  2. 802.3an Ethernet 以太网络 LDPC Verilog 网表实现-802.3an Ethernet Ethernet LDPC Verilog netlist to achieve
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:914828
    • 提供者:
  1. H_512x1024

    0下载:
  2. LDPC 码二进制规则码生成矩阵512*1024,效果很理想-LDPC code rules binary code matrix to generate 512* 1024, the effect is very satisfactory
  3. 所属分类:3G开发

    • 发布日期:2013-10-12
    • 文件大小:9806
    • 提供者:wwwwomen
  1. ldpc

    2下载:
  2. 最近在做毕设,ldpc码的编解码实现,这个是verilog实现。-Recently completed the set up to do, ldpc code codec implementation, this is the Verilog implementation.
  3. 所属分类:Communication-Mobile

    • 发布日期:2016-08-16
    • 文件大小:8992
    • 提供者:fly
  1. ldpcverilog

    0下载:
  2. verilog编写的ldpc编码的源代码 -ldpc prepared verilog source code
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:9093
    • 提供者:paul
  1. ldpc_decoder_802_3an_latest.tar

    1下载:
  2. 802.3an ldpc decoder verilog 源码
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:885061
    • 提供者:杨振飞
  1. ldpc_encoder_802_3an_latest.tar

    0下载:
  2. 适用于10GBase-T的以太网(802.3an协议)LDPC, VERILOG语言编写,可以应用在LATTICEXP2系列芯片上,基于Gallager算法。-LDPC encoder for 10GBase-T Ethernet (802.3an), based on Gallager s A algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:620127
    • 提供者:liang
  1. ldpc_encoder_802_3an_latest.tar

    1下载:
  2. LDPC encoder in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:620110
    • 提供者:subhashini
  1. Realization_of_FPGA_for_LDPC_encoding

    0下载:
  2. 低密度奇偶校验码(简称LDPC码)是目前距离香农限最近的一种线性纠错码,它的直接编码运算量较大,通常具有码长的二次方复杂度.为此,利用有效的校验矩阵,来降低编码的复杂度,同时研究利用大规模集成电路实现LDPC码的编码.在ISE 8.2软件平台上采用基于FPGA的Verilog HDL语言实现了有效的编码过程,为LDPC码的硬件实现和实际应用提供了依据-Abstract:Low.density parity·check code(LDPC code)is a kind of linear eror
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:166294
    • 提供者:秦小星
  1. ldpc_decoder_802_3an_latest.tar

    0下载:
  2. ldpc decoder 802-3an,最新版本,verilog版本.完成基于LDPC解码 -ldpc decoder 802-3an, the latest version, verilog version. LDPC decoder based on the completion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:885048
    • 提供者:shen
  1. ps_decoder3_12_80_mod

    0下载:
  2. PS-LDPC码译码器的Verilog程序-PS-LDPC code decoder of the Verilog program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:30197
    • 提供者:王昆
  1. LDPC

    2下载:
  2. LDPC编码的硬件代码,可在modelsim上验证(verilog code for ldpc encode)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-09
    • 文件大小:4233216
    • 提供者:邹润秋
« 12 3 »
搜珍网 www.dssz.com