CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog闹钟设计

搜索资源列表

  1. NumClock

    0下载:
  2. 基于Altera公司系列FPGA(Cyclone EP1C3T144C8)、Verilog HDL、MAX7219数码管显示芯片、4X4矩阵键盘、TDA2822功放芯片及扬声器等实现了《电子线路设计• 测试• 实验》课程中多功能数字钟实验所要求的所有功能和其它一些扩展功能。包括:基本功能——以数字形式显示时、分、秒的时间,小时计数器为同步24进制,可手动校时、校分;扩展功能——仿广播电台正点报时,任意时刻闹钟(选做),自动报整点时数(选做);其它扩展功能——显示年月日(能处理
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23375
    • 提供者:田世坤
  1. shuzizhong

    0下载:
  2. 设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校准时间,每逢整点,产生报时音报时。 实验平台: 1. 一台PC机; 2. MAX+PLUSII10.1。 Verilog HDL语言实现,还有完整的实验报告-The design of a can be hours, minutes, seconds time of 12 hours or 24 hours system, d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:425747
    • 提供者:盼盼
  1. EDAshuzhizhong

    0下载:
  2. 设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校准时间,每逢整点,产生报时音报时。实验平台: 1. 一台PC机; 2. MAX+PLUSII10.1。 Verilog HDL语言实现-The design of a can be hours, minutes, seconds time of 12 hours or 24 hours system, digital clock, and h
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:128125
    • 提供者:nong
  1. EDAclock

    0下载:
  2. 基于verilog的fpga电子钟设计 有时分秒显示 及闹钟功能-Based on the electric clock verilog FPGA design into four modules that sometimes the alarm clock function determined.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5515
    • 提供者:子衿
  1. clock

    1下载:
  2. 用 Verilog HDL 设计一个多功能数字钟,包含以下主要功能: 1) 计时,时间以 24 小时制显示; 2) 校时; 3) 闹钟:设定闹钟时间,可利用 LED 闪烁作为闹钟提示; 4) 跑表:启动、停止; 5) 其他。-Using Verilog HDL design a multi-functional digital clock contains the following main functions: 1) time, the time is displayed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:1234709
    • 提供者:毛洋
  1. clock

    0下载:
  2. 用Verilog编程设计出一个具有计时,校准,闹钟,日历等功能的电子时钟; -Design a program with Verilog have time, calibration, alarm clock, calendar and other functions of electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5942
    • 提供者:刘歆
  1. kcsj

    0下载:
  2. 利用Verilog层次化设计的多功能数字时钟,可以调时,设置闹钟,仿广播台整点报时(The use of Verilog hierarchical design of multi-functional digital clock, you can set the alarm clock, similar to the broadcast station, the whole point of time)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-17
    • 文件大小:956416
    • 提供者:SEEC
  1. 至简设计法--闹钟

    0下载:
  2. 闹钟 工程说明 本工程包括矩阵键盘和数码管显示模块,共同实现一个带有闹钟功能、可设置时间的数字时钟。 案例补充说明 我们通过建立四个清晰直观的模块(数码管显示模块,矩阵键盘扫描模块,时钟计数模块,闹钟设定模块),以及建立完善的信号列表和运用verilog语言编写简洁流畅的代码,实现电子闹钟时、分、秒计时以及设置、修改、重置等功能。(alarm clock Engineering descr iption This project includes matrix keyboard and di
  3. 所属分类:嵌入式/单片机编程

搜珍网 www.dssz.com