CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 七人表决器

搜索资源列表

  1. VHDL范例

    1下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:42884
    • 提供者:kerty
  1. select7

    0下载:
  2. VHDL七人表决器免费为大家服务-VHDL seven people to vote for you for free!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:36323
    • 提供者:man
  1. seven

    0下载:
  2. seven.vhd 七人表决器VHDL源码 七人表决器.doc 程序说明-seven.vhd seven votes for VHDL source code for seven votes. A descr iption of the procedures for doc
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4415
    • 提供者:杨奎元
  1. voterandcounter

    0下载:
  2. 用VHDL写的源代码程序,包涵三人表决器,七人表决器,全加器以及模24,模60的计数器,都是单文件的,由于程序小又多,所以集中在一起,供新学习VHDL语言的朋友们参考。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2174
    • 提供者:韩笑
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. seven

    0下载:
  2. 这是我在ISP编程实验中独立编写的采用结构化描述的一个七人表决器,通过独特的3次映射一位全加器的方法从而实现七人表决器的功能,与网络上任何其他的七人表决器源码决无雷同。-This is my ISP programming in an independent experiment using a structured, prepared as described in a seven-member voting machine, through a unique 3 times a full a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:84870
    • 提供者:daisichong
  1. bhgfdti

    0下载:
  2. 含有七人表决器,格雷码变换电路,英文字符显示电路,基本触发器(D和JK),74LS160计数器功能模块,步长可变的加减计数器-Containing seven people vote, and Gray code conversion circuit, the English characters display circuit, the basic flip-flop (D and JK), 74LS160 counter function modules, variable-step add
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:423791
    • 提供者:俞皓尹
  1. 7renbiaojueqi

    0下载:
  2. VHDL实现的一个七人表决器源程序,当人数多于四人时表决通过-VHDL implementation of a seven-member voting machine source code, when the number of people vote more than four hours
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:221589
    • 提供者:tangchengjiang
  1. biaojue

    0下载:
  2. VHDL编写的七人表决器,有做课程设计的有福了-Written in VHDL seven voting machine, there are so blessed Oh curriculum design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:208650
    • 提供者:龙刚
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. vote

    0下载:
  2. 此程序是七人表决器,代码中运用了case和IF这两种语句,可凭个人自由选用!-This program is a vote of seven, code in use of the case and the two IF statements, present their selection of individual freedom!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:96157
    • 提供者:美味男孩
  1. sevenvote

    0下载:
  2. 一个七人投票表决器,基于VHDL语言,当多数信号为1时输出为1,多数为0时输出为0-A seven-vote device, based on the VHDL language, when the majority of the output signal is 1 to 1, most of the output is 0 0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:207804
    • 提供者:Tony
  1. vote7_plus

    0下载:
  2. 七人表决器完整工程项目,VHDL语言编写,Maxplus2环境,内有仿真图,实验可用-Seven voting integrity project, VHDL language, Maxplus2 environment, there are simulation diagram, experimental available ~ ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:421820
    • 提供者:Andrew
  1. VHDL

    0下载:
  2. 七人表决器,可以用于七人表决,很实用,很好,-Seven voting machines, you can vote for seven people, very practical, very good,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:73616
    • 提供者:www
  1. 7_ren_biao_jue_qi

    0下载:
  2. 用vhdl,设计的一个七人表决器,当赞成人数大于等于四时显示表决通过,同时分别将投票中赞成的人数和反对的人数在数码管上显示出来-VHDL design of a seven-vote in favor of the number of greater than or equal to four o' clock, the vote at the same time, respectively, displayed the number of people vote in favor of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:451621
    • 提供者:sam
  1. VHDL-design-seven-people-voting

    0下载:
  2. 1、 熟悉VHDL的编程。 2、 熟悉七人表决器的工作原理。 3、 进一步了解实验系统的硬件结构。 -1, familiar with VHDL programming. 2, familiar with the seven voting machine works. 3, to further understand the experimental system hardware architecture.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:1147717
    • 提供者:于治成
  1. EDA

    0下载:
  2. EDA小程序,用VHDL语言设计七人表决器,四位加法器。-EDA small program design using VHDL seven people voting, four adder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:610
    • 提供者:露露
  1. 7renbiaojueqi

    0下载:
  2. FPGA开发实例 之 用VHDL设计七人表决器-The FPGA development instance of the design with VHDL voter of seven people
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1150240
    • 提供者:pld
  1. Arbiter-VHDL-based-design

    0下载:
  2. 1、熟悉VHDL的编程。 2、熟悉七人表决器的工作原理。 3、进一步了解实验系统的硬件结构。 -Arbiter VHDL-based design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:95858
    • 提供者:漆广文
搜珍网 www.dssz.com