CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 减法器

搜索资源列表

  1. add_sub_lab2

    0下载:
  2. 实验课的作业,包括半加器、全加器、加/减法器,使用逻辑图和VHDl描述,包括分析和报告。-experiment include the operation of a half adder, full adder, plus / subtraction device, and the use of logic diagram VHDl descr iption, including analysis and reporting.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:60734
    • 提供者:徐轶尊
  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. VHDLjianfaqi

    0下载:
  2. 这是一个利用MAX PULL 制作的VHDL的减法器的程序 如果有需要仿真图的 请叫站长联系我
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1573
    • 提供者:郭明磊
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. divider

    1下载:
  2. 移位快速除法器,通过一次移4位试商实现快速除法功能,较普通减除法器有及其巨大的效率提升-Divider rapid shift by a shift to four test functions of rapid division, as compared with ordinary objects have less efficiency and its huge
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:900
    • 提供者:jh
  1. vhdl_123

    0下载:
  2. 几个简单的vhdl程序。包括加法器,减法器,乘除法等等。-A few simple vhdl program. Including the adder, subtractor, multiplication and division and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4390710
    • 提供者:fugen
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. jianfaqi

    0下载:
  2. 用硬件描述语言编程实现减法器,实现两个操作数的减法-Using hardware descr iption language programming subtraction, and the achievement of the two operands of the subtraction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:25240
    • 提供者:hulijing
  1. OPERATION_UNIT

    0下载:
  2. 本程序为加密芯片内部加密运算单元部分,包括32位减法器、移位寄存器、加/减法器、寄存器等,对密码芯片运算部分设计具有一定指导意义-The procedure for encryption chip unit internal encryption algorithms, including 32-bit subtraction, and shift register, add/subtraction, and register and so on password-chip design has
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2363
    • 提供者:zhaohongliang
  1. mul

    0下载:
  2. 加法器树乘法器结合了移位相加乘法器和查找表乘法器的优点。它使用的加法器数目等于操作数位数减 1 ,加法器精度为操作数位数的2倍,需要的与门数等于操作数的平方。 因此 8 位乘法器需要7个15位加法器和64个与门-Adder tree multiplier multiplier combination of shift and add multiplier advantage of look-up table. It uses the adder operand is equivalent to
  3. 所属分类:Other systems

    • 发布日期:2017-03-23
    • 文件大小:565
    • 提供者:肖毅
  1. VHDL

    0下载:
  2. VHDL对各种电路的基本实现,包括乘法器,触发器,加减法器等-VHDL for the basic realization of the various circuits, including multipliers, flip-flops, and other instruments used in addition and subtraction
  3. 所属分类:source in ebook

    • 发布日期:2017-04-10
    • 文件大小:1139139
    • 提供者:Michael
  1. vhdl

    0下载:
  2. Very high speed integrated Hardware Descr iption Language (VHDL) -是IEEE,工业标准硬件描述语言 -用语言的方式而非图形等方式描述硬件电路 容易修改 容易保存 -特别适合于设计的电路有: 复杂组合逻辑电路,如: -译码器,编码器,加减法器,多路选择器,地址译码 -Very high speed integrated Hardware Descr iption Language (VHDL)-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1735847
    • 提供者:sherry
  1. fast_divider

    0下载:
  2. 快速除法器,采用循环移位相减算法。 已经通过仿真。-Quick divider using cyclic shift subtraction algorithm. Simulation has been passed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:569
    • 提供者:neimty
  1. jiajianfaqi

    0下载:
  2. 利用VHDL语言设计的两位加减法器,设计采用BLOCK并行设计可以同时进行加法与减法运算-VHDL language design using addition and subtraction of two instruments used, designed using BLOCK parallel design can be done concurrently addition and subtraction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:637
    • 提供者:庞潮
  1. VHDL

    0下载:
  2. 本代码为用VHDL语言设计实现加法器、减法器、乘法器,并提供了模块图,进行了波形仿真。-This code is for the use of VHDL Language Design and Implementation of adder, subtracter, multiplier, and provides a block diagram carried out a wave simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:14983
    • 提供者:张霄
  1. jiafqi

    0下载:
  2. vhdl减法器 用vhdl语言实现减法功能-Used subtraction vhdl vhdl language subtraction function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:8846
    • 提供者:xu
  1. VHDL

    0下载:
  2. 减法器可以完成VHDL的减法功能,还可以组成8为减法器的功能-Subtraction can be done VHDL subtraction function can also be composed of 8 features for the subtractor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:605
    • 提供者:吴晓明
  1. 加减法器

    0下载:
  2. 可实现两个4bit补码的加法及减法,有溢出提示(adder with overflow hint)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:239616
    • 提供者:tyne
  1. 基于VHDL实现单精度浮点数的加-减法运算

    1下载:
  2. vhdl 加法器和减法器 希望对VHDL的同学有参考作用(VHDL adder and function as relative reference)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-07
    • 文件大小:669696
    • 提供者:angryzookey
« 12 »
搜珍网 www.dssz.com