CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 延时 程序

搜索资源列表

  1. primetime

    0下载:
  2. 这是VHDL语言编写的延时测试程序,用来测定CPLD的性能指标-This is the VHDL language delay the test procedure used to determine the performance CPLD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:52474
    • 提供者:张国梁
  1. DSPBuilderFIR.files

    0下载:
  2. 在信息信号处理过程中,如对信号的过滤、检测、预测等,都要使用滤波器,数字滤波器是数字信号处理(DSP,DigitalSignalProcessing)中使用最广泛的一种器件。常用的滤波器有无限长单位脉冲响应(ⅡR)滤波器和有限长单位脉冲响应(FIR)滤波器两种[1],其中,FIR滤波器能提供理想的线性相位响应,在整个频带上获得常数群时延从而得到零失真输出信号,同时它可以采用十分简单的算法实现,这两个优点使FIR滤波器成为明智的设计工程师的首选,在采用VHDL或VerilogHDL等硬件描述语言设
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:96123
    • 提供者:yaoming
  1. DELAY1

    0下载:
  2. 本程序以ISE为开发平台,采用VHDL为开发语言,实现了对一个时钟信号延时的功能-the procedures to ISE for the development platform for the development of VHDL language, Implementation of a clock signal delay function
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1325308
    • 提供者:刘小军
  1. VHDLDelay

    0下载:
  2. 开发环境是FPGA开发工具,描述的是VHDL延时程序,文章中也有程序
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:128811
    • 提供者:horse
  1. MEALY

    1下载:
  2. 状态机设计,用VHDL进行MEALY型状态机的设计。由于两个程序本身有延时现象,本实验进行了改进。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:157438
    • 提供者:wang
  1. delay.rar

    0下载:
  2. 用vhdl的状态机实现精确的1us的延时程序,VHDL state machine used to achieve precise 1us delay procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1015
    • 提供者:yim
  1. vhdl

    0下载:
  2. VHDL 的一个流水灯程序 开发平台Quartusii 使用的延时方法为分频思想
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:1006
    • 提供者:hehe
  1. programe

    0下载:
  2. 项目程序,vhdl+C语言 开关等时性测量,测量多子开关的延时时间-Project procedures, vhdl+ C language switch isochronism measured Multi-switch delay time
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:507374
    • 提供者:xbr
  1. QuartusIIandModelSim

    0下载:
  2. 本文主要描述了如何在QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过 MODELSIM进行功能仿真与后仿真的过程,主要为图解,含全部代码及仿真波形。 -This article describes how to enter at QUARTUS II program file, generate netlists and standard delay file, and then through the ModelSim for functional simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:277929
    • 提供者:朱雯
  1. farrow

    0下载:
  2. 一份很好的数字时延程序(采用farrow算法),采用Verilog HDL,经过测试通过,是我一个雷达项目中的代替模拟时延的。精度很高,并有MATLAB程序验证-A good digital delay, Verilog HDL, procedures, is my test through a radar simulation project instead of the delay. Precision is high, and MATLAB validation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7234914
    • 提供者:左洪成
  1. FPGA-IIC

    0下载:
  2. 利用VHDL实现延时程序 很不错的资料 适合学习CHDL-Delay procedure using VHDL implementation very good information for learning CHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:240135
    • 提供者:qzl001
  1. vhdl-delay

    0下载:
  2. vhdl延时程序,源程序,已调试,可以用-VHDL delay program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:587
    • 提供者:任贤齐
  1. a

    0下载:
  2. 顺序延时关断三盏灯开关,有VHDL完整程序-control the lights on and off
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:528028
    • 提供者:大龙
  1. The--VHDL-code-of-I2C

    0下载:
  2. 该程序采用延时接收比较来实现仲裁的方法,使不具有I2C接口的普通微控制器(MCU)能够实现模拟I2C总线的多主通信。-This program is to realize the delay receiving the arbitration method, do not have the I2C interface of ordinary micro controller (MCU) can simulate the I2C bus more than the main communicati
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4053
    • 提供者:西土瓦
  1. delay

    0下载:
  2. 一个基于FPGA的VHDL编写的延时程序。-A delay procedures based on FPGA VHDL written.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:1476
    • 提供者:wuqi
搜珍网 www.dssz.com