CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 电子时钟

搜索资源列表

  1. VHDL.sheji.2

    0下载:
  2. 电子时钟VHDL程序与仿真 10进制计数器设计与仿真 6进制计数器设计与仿真-electronic clock procedures and VHDL simulation Decimal counter design and simulation of six NUMBER Design and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59453
    • 提供者:少龙
  1. eclock.VHDL

    0下载:
  2. 电子时钟,程序清晰有条理,有详细的功能仿真说明,可以一看。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59544
    • 提供者:李立
  1. 基于verilog HDL语言的电子钟

    0下载:
  2. 基于verilog HDL语言的电子钟,多功能电子时钟,Verilog HDL language-based electronic bell, electronic multi-function clock
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-28
    • 文件大小:185082
    • 提供者:哈哈
  1. clock

    0下载:
  2. 万年历与电子时钟的VHDL程序设计,万年历与电子时钟的VHDL程序设计-clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:19175
    • 提供者:徐生
  1. clock

    0下载:
  2. 多功能电子时钟,具有时间显示,时间调整等功能。-Multi-function electronic clocks, time display, time adjustment functions.
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:2054
    • 提供者:xuejing
  1. timer

    0下载:
  2. vhdl代码:电子时钟VHDL程序与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: electronic clock and simulation of VHDL procedures! FPGA beginner who can refer to reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:59406
    • 提供者:daxiadian2
  1. digital_clk

    0下载:
  2. 该工程的主要功能是由VHDL语言实现多功能数字电子时钟-The project s main function is to achieve by the VHDL language multifunction digital electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:497614
    • 提供者:问路人
  1. clock

    0下载:
  2. 基于VHDL的电子时钟设计-VHDL-based design of an electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:241827
    • 提供者:peter
  1. shizhong

    0下载:
  2. 这个VHDL与其他上传的代码不同,这个代码更适合于初学者。电子时钟已经在硬件上得到成功仿真。-From the VHDL code with other different, the code is more suitable for beginners. Electronic clock has been successful in the hardware simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1972
    • 提供者:
  1. vhdl-digital-clock-design

    0下载:
  2. 设计一个具有特定功能的数字电子钟。准确计时,以数字形式显示h、min、s 的时间。小时的计时要求为二十四进位,分和秒的计时要求为六十进位。 该电子钟上电或按键复位后能自动显示系统提示00-00-00,进入时钟准备状态;第一次按电子钟功能键,电子钟从0时0分0秒开始运行,进入时钟运行状态;再次按电子钟功能键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按功能键再次进入时钟运行状态。 -Designed with a specific function of a dig
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:6365
    • 提供者:andy
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. clock

    0下载:
  2. 电子时钟具有一般时钟所具有的所有功能,定时,报时,显示时间和日期以及秒表等等功能。-electric clock
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:1380
    • 提供者:huyue
  1. dianzizhong

    0下载:
  2. 电子时钟程序设计与仿真验证,VHDL语言-Clock Electronics Design and Simulation, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:59178
    • 提供者:DAVID
  1. digital_clock

    0下载:
  2. 利用VHDL语言设计一个电子时钟,包含相应的设计子程序及仿真结果-The use of VHDL language design an electronic clock, including the design of the corresponding subroutines and simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:60534
    • 提供者:fengjinhua
  1. clock

    0下载:
  2. 这是一个电子时钟的VHDL语言程序,非常好,注释也比较清晰,它包括电子时钟的所有功能。-This is an electronic clock VHDL language program, very good, the Notes are also clear, which includes all the features of the electronic clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:59130
    • 提供者:廖昭师
  1. clock

    0下载:
  2. 电子时钟,能够进行计时,可设定闹钟,可以当做跑表,并且可以更改时间-electric clock
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:1157
    • 提供者:anniepotter
  1. 50602

    0下载:
  2. vhdl语言实现电子时钟设计 时分秒 可以设置-vhdl language designed to achieve accurate electronic clock can be set
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:548606
    • 提供者:liu
  1. clock

    0下载:
  2. 电子时钟简单设计模板,内含源代码,并可实现简单计时-Electronic Clock simple design template, containing the source code, and with a simple timing
  3. 所属分类:source in ebook

    • 发布日期:2017-04-25
    • 文件大小:217552
    • 提供者:小毛
  1. vhdl

    0下载:
  2. VHDL 电子时钟程序!能实现简单的计时功能-VHDL clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3845
    • 提供者:tao
  1. VHDL

    0下载:
  2. vhdl 电子时钟源代码 全部。 所有模块 的设计 及其代码描述。 可以用来参考设计,使用-vhdl clock Electronic clock all the source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3814485
    • 提供者:喜欢
« 12 3 4 »
搜珍网 www.dssz.com