CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 秒表

搜索资源列表

  1. VHDL

    0下载:
  2. VHD设计实例8位加法器的设计分频电路数字秒表的设计
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:569597
    • 提供者:yyy
  1. 数字秒表

    0下载:
  2. VHDL Y语言的
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:115280
    • 提供者:woxisiji
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. vhdl-多功能电子表

    1下载:
  2. 这是一个用vhdl编的多功能电子秒表,可以记录几个人的时间,并且可以在跑秒的时候查看记录。。〔原创〕-This is a series with VHDL multifunctional electronic stopwatch, can be recorded by several people, and that they could run in the second examined the records. . [Original]
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5527
    • 提供者:王继东
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. 基于CPLD的VHDL语言数字钟(含秒表)设计

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计
  3. 所属分类:VHDL编程

  1. 99秒秒表

    0下载:
  2. VHDL语言,99秒秒表,已测试成功。
  3. 所属分类:VHDL编程

  1. daima.用VHDL语言设计一个数字秒表

    1下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-26
    • 文件大小:4767
    • 提供者:SAM
  1. run_watch

    1下载:
  2. 提供一个数字秒表的EDA设计实例,内故有VHDL源代码,并有运行仿真图。-To provide a digital stopwatch the EDA design example, it is within the VHDL source code, and run the simulation of Fig.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:61824
    • 提供者:靳朝
  1. vhdl

    0下载:
  2. 6位LED电子钟,非常实用实做过实验,自动报时,秒表-6 LED electronic clock, very useful experiment is done, automatic timer, stopwatch. . .
  3. 所属分类:Document

    • 发布日期:2017-04-14
    • 文件大小:4230
    • 提供者:王睿
  1. KESHE

    0下载:
  2. 基于FPGS的数字秒表设计文件 含有计时,停止,复位,清零功能-FPGS-based digital stopwatch design document contains a time, stop, reset, Clear Function
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:296369
    • 提供者:豆豆
  1. VHDL312vh6

    0下载:
  2. 包含若干个VHDL小例子,有交通灯,电子琴,简易秒表,等等,交通灯已经测试过,根据自己的需要,稍微改动,很好用!-VHDL contains a number of small example, there is traffic lights, Electronic organ, simple stopwatch, and so on, traffic lights have been tested, according to their own needs, slightly altered,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:328057
    • 提供者:lee gilbert
  1. miaobiao

    0下载:
  2. 体育用记时秒表,显示MS,S,MIN功能-watch
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:419655
    • 提供者:李磊
  1. miaobiao

    0下载:
  2. 秒表功能,自带工程,EDA的设计平台QuartusⅡ-Stopwatch functions, bring their own works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1589031
    • 提供者:huliyan
  1. watch

    0下载:
  2. VHDL编写的秒表,经过试验了,用的应该还可以-VHDL stopwatch prepared, tested, and can be used
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:164953
    • 提供者:wangzw
  1. VHDL

    0下载:
  2. (1)用VHDL语言编写程序,在EDA实验板上实现 (2)能正常计时。显示模式分为两种,即24小时制和12小时制。其中12小时制须显示上,下午(用指示灯显示)。时,分,秒都要显示。 (3). 手动校准电路。用一个功能选择按钮选择较时,分功能,用另一个按钮调校对应的时和分的数值。 用VHDL语言编写程序,在EDA实验板上实现 (4) 整点报时。 (5). 闹钟功能。 (6).秒表功能。-(1) using VHDL language program, in the EDA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4061
    • 提供者:malon
  1. stopwatch

    0下载:
  2. 数字秒表的VHDL代码。当设计文件加载到目标器件后,设计的数字秒表从00-00-00开始计秒。,直到按下停止按键(按键开关S2)。数码管停止计秒。按下开始按键(按键开关S1),数码管继续进行计秒。按下复位按键(核心板上复位键)秒表从00-00-00重新开始计秒。-The VHDL code for digital stopwatch. When the design document loaded into the target device, the designed digital stop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-11
    • 文件大小:1457
    • 提供者:王唐小菲
  1. VHDL-maobiao

    0下载:
  2. VHDL秒表,运行过,可以用,供初学者学习-VHDL stopwatch running, you can use for beginners to learn
  3. 所属分类:Software Testing

    • 发布日期:2017-05-08
    • 文件大小:1969192
    • 提供者:xiaxia
  1. 秒表

    0下载:
  2. 基于VHDL语言实现秒表的计时、倒计时的功能。(The function of timing and countdown of the stopwatch based on VHDL language.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-18
    • 文件大小:446464
    • 提供者:水盼
  1. VHDL秒表计时

    0下载:
  2. 用VHDL实现秒表计时,包括对于时钟分频的体现和对秒表计数的体现,最终是将编码译码到七段数码管
  3. 所属分类:其它源码

« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com