CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 计数器

搜索资源列表

  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. 12进制计数器

    1下载:
  2. 应用VHDL语言编写十二进制计数器
  3. 所属分类:VHDL编程

  1. 7位二进制计数器

    0下载:
  2. 应用VHDL语言编写设计一个带计数使能、异步复位、同步装载的可逆七位二进制计数器,计数结果由共阴极七段数码管显示
  3. 所属分类:VHDL编程

  1. vhdl

    1下载:
  2. 包括一个8位D触发器、一个jk触发器、一个10的计数器。适合初学者和开发人员-Including an 8-bit D flip-flop, a jk flip-flop, a 10-counter. Suitable for beginners and developers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-07
    • 文件大小:1122
    • 提供者:龚成
  1. count10

    0下载:
  2. 用vhdl编写的十进制计数器,内部说明详细。-Prepared using VHDL decimal counter, the internal descr iption in detail.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:152593
    • 提供者:雪花
  1. a8254

    0下载:
  2. 自己编写的8254计数器/计时器,实现了所有的6种模式,和大家一起分享。-I have written 8254 counter/timer, realize all the six kinds of patterns, and the U.S. share.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4881921
    • 提供者:高超
  1. shiyan6

    0下载:
  2. 一个8位的十进制频率计数器,功能经过测试.-An 8-bit decimal frequency counter, function tested.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1305
    • 提供者:何情
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. VHDL

    0下载:
  2. eda课程,包括数码管显示,可变步长计数器的编写-eda courses, including digital display, variable-step preparation of counter
  3. 所属分类:File Formats

    • 发布日期:2017-04-27
    • 文件大小:384731
    • 提供者:lxc
  1. VHDL16bitcouner

    0下载:
  2. 利用VHDL编写的一个简单的16位计数器-VHDL prepared using a simple 16-bit counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:179597
    • 提供者:jian
  1. jishuqi8421

    0下载:
  2. 用VHDL语言实现8421码的十进制计数器,状态变化0000->0001->0010->0011->0100->0101->0110->0111->1000->0000.循环往复。 -VHDL language with 8421 yards of the decimal counter, a state of change 0000-> 0001-> 0010-> 0011-> 0100-> 0101-&g
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:172968
    • 提供者:deng
  1. 24

    0下载:
  2. 简单的数字时钟EDA设计,并通过电路的仿真和硬件验证,进一步了解计数器的特征和功能。-Simple digital clock EDA design, and through circuit simulation and hardware verification, and further understanding of the characteristics and functions of counters.
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-14
    • 文件大小:3451891
    • 提供者:xxy
  1. 2cout10

    0下载:
  2. 二位十进制计数器,详细的代码和仿真,并且有VHDL代码和原理图设计-2 decimal counter, the detailed code and simulation, and has VHDL code and schematic design of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:159477
    • 提供者:dingdus
  1. vhdl

    1下载:
  2. 100进制计数器的设计 -100 binary counter design
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:659
    • 提供者:冷与
  1. VHDL-3BCD

    0下载:
  2. 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55898
    • 提供者:will li
  1. VHDL代码

    0下载:
  2. 实现简单的电子拔河比赛,即两按键模拟,计数器计数,比较器进行比较,最后通过LED灯进行直观显示(To achieve a simple tug of war competition, that is, two button analog, counter count, comparator comparison, and finally through the LED lamp for visual display)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:1024
    • 提供者:很看好
  1. vhdl

    0下载:
  2. 10秒计数器模块VHDL源程序,在FPGA中实现计数器功能(10 seconds counter module VHDL source code, in FPGA realize counter function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:1314816
    • 提供者:cainiaolaoda
  1. 计数器

    0下载:
  2. 简单的层次化电路实现计数器,带有清零功能(counter with clear function)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-16
    • 文件大小:5120
    • 提供者:tyne
  1. 16进制加减计数器

    0下载:
  2. 16进制加、减计数器,用两个数码管显示(0-15)(hex add/sub counter(show 0-15))
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-17
    • 文件大小:349184
    • 提供者:tyne
« 12 3 4 5 6 7 8 9 10 ... 26 »
搜珍网 www.dssz.com