CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 0809

搜索资源列表

  1. 0809

    0下载:
  2. 0809控制器程序 VHDL编写的 仅供参考-0809 controller procedures prepared by the VHDL is for reference only
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1851
    • 提供者:zhangbin
  1. VHDL_adc0809

    0下载:
  2. 驱动模数转换器ADC0809转换的VHDL代码-Driver Analog to Digital Conversion Connection between ADC 0809 VHDL code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:109251
    • 提供者:YI
  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. ADC0809VHDL

    0下载:
  2. VHDL语言编写的程序,实现控制ADC0809的工作 -VHDL prepared by the procedures, the control Connection between ADC 0809
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4221
    • 提供者:wang
  1. 0809

    0下载:
  2. 这是基于VHDL的0809可编程程序,非常好用
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:1047
    • 提供者:史乐
  1. cpld-0809

    0下载:
  2. 这是利用VHDL语言编写的关于ADC0809的程序,编的很不错
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1282
    • 提供者:王盗大
  1. ADC0809

    0下载:
  2. 用状态机对A/D转换器0809的采样控制电路的实现。工具:Quartus ii 6.0 语言:VHDL-State machine used for A/D converter sampling control circuit 0809 is achieved. Tools: Quartus ii 6.0 Language: VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:46387
    • 提供者:杨晴飞
  1. 0809AD

    0下载:
  2. 模拟产生ADC0809时序 ,对ADC0809输入一个模拟量,进行A/D转化。-ADC0809 generate timing simulation of an ADC0809 analog input for A/D conversion.
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1102
    • 提供者:柳苏
  1. 0809

    0下载:
  2. fpga 0809实现da转换的 可以用发光二极管显示 亦可以用数码管显示 -fpga Can realize da conversion Can use light emitting diode with digital display or pipe display
  3. 所属分类:source in ebook

    • 发布日期:2017-04-06
    • 文件大小:40918
    • 提供者:
  1. State_Machine

    0下载:
  2. 状态机的VHDL实现,在quartus-ii7.2上测试通过,文件包括米利状态机,摩尔状态机,ADC0809的状态机实现,序列检测器和定时去毛刺的状态机实现。-State machine code in VHDL,successfully tested in quartus-ii7.2,the file contains mealy state machine,moore state machine,ADC 0809 and sequence detector achieved in state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1551028
    • 提供者:baoguocheng
  1. AD

    0下载:
  2. 有限状态机的设计——0809 A/D转换实验-VHDL for ADC0809
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:723
    • 提供者:lyon
  1. 0809

    0下载:
  2. 0809的vhdl程序,0809的8位转换数据输出,便于初学者学习-Vhdl program of 0809, 0809 8 conversion data output, easy for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:831
    • 提供者:小李
  1. 2

    0下载:
  2. ADC0809 VHDL控制程序,实现简单-ADC 0809 VHDL control procedures, simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4326
    • 提供者:qiming
搜珍网 www.dssz.com