CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl for frequency counter

搜索资源列表

  1. my_design_frequency

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号是最重要的信号之一。 下面我们介绍分频器的 VHDL 描述,在源代码中完成对时钟信号 CLK 的 2 分频, 4 分频, 8 分频, 16 分频。 这也是最简单的分频电路,只需要一个计数器即可。-in digital circuits, and often the need for higher frequency for the clock frequency operation, th
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:卢吉恩
  1. daima.用VHDL语言设计一个数字秒表

    1下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-26
    • 文件大小:4767
    • 提供者:SAM
  1. shiyan6

    0下载:
  2. 一个8位的十进制频率计数器,功能经过测试.-An 8-bit decimal frequency counter, function tested.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1305
    • 提供者:何情
  1. FREQ

    0下载:
  2. 硬件描述语言VHDL的频率计程序,可用于做实验,或者初学者借鉴.-Hardware Descr iption Language VHDL of the frequency counter program can be used for experiments, or the beginners learn.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:356696
    • 提供者:czm
  1. frequencycounter

    0下载:
  2. 一个简单大家容易看的懂的频率计设计程序,可以实现自动换挡功能。-A simple and easy to see to understand all of the frequency counter design program that can automatically shift feature.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:1851
    • 提供者:zhangliang
  1. DigitalClock

    0下载:
  2. 基于FPGA的数字电子钟设计,系统总程序由分频模块、“时分秒”计数器模块、数据选择模块、报时模块、动态扫描显示和译码模块组成。得到一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外有校时、校分和整点报时功能,并通过数码管驱动电路显示计时结果。-FPGA-based design of digital electronic clock, the system program by the total frequency modul
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:63477
    • 提供者:sunnan
  1. counter_bcd7

    0下载:
  2. bcd十进制计数器,用于频率计设计的计数器单元,输出zeros用于选通量程使用!-bcd decimal counter, the counter for frequency counter design unit, the output zeros for the use of strobe range!
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:525
    • 提供者:jim
  1. zidongpinlv

    0下载:
  2. 4位自动换挡数字频率计设计 1、 由一个4位十进制数码管(含小数点)显示结果; 2、 测量范围为1Hz~9999KHz; 3、 能自动根据7位十进制的结果,自动选择有效数据的高4位进行动态显示(即量程自动转换),小数点表示是千位,即KHz; 4、 为检测设计正确与否,应将时钟通过PLL和手控分频器产生宽范围的多个频率来测试自动换档频率计功能。 -4 automatic transmission design a digital frequency meter, by a 4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:354577
    • 提供者:李伦特
  1. vhdlcodes

    0下载:
  2. vhdl的常用代码,包括存储器,计数器,分频等常用程序代码。-for vhdl, including useful codes such as counter, frequency division, etc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:169131
    • 提供者:laurie
  1. freqtest_dec

    0下载:
  2. 用VHDL设计了一个频率计,给出了各模块的详细源码,并给出了注解,对初学者及课程设计有帮助。-VHD designed with a frequency counter, gives the details of each module source code, and gives notes on programs designed for beginners and helpful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:504512
    • 提供者:张三
  1. counter

    0下载:
  2. 这是一个从零计数到9999在归零的vhdl程序,程序不复杂,对于理解分频原理,数码管显示原理有很大的帮助-This is a count from zero to zero in the vhdl program in 9999, the program is not complicated, the principle for understanding the frequency, digital display of great help to the principle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1351
    • 提供者:王冰
  1. Frequency-Counter

    0下载:
  2. 频率计,可以精确的测量仪器的工作周期,频率,测量精确度高-Frequency, precision measuring instruments for the duty cycle, frequency, high precision measurement
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:3028
    • 提供者:wulei
  1. Frequency-counter

    0下载:
  2. 基于FPGA的频率计设计。通过FPGA运用、 HDL编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS II集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,仿真和实验结果表明,该频率计有较高的实用性和可靠性。-Frequency counter FPGA-based design. By using FPGA, VHDL programming, the use of FPGA (fi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:596239
    • 提供者:吴亮
  1. ADC_TLC549

    0下载:
  2. 实现ADC转换的VHDL代码,利用计数器分频产生1MHz的频率,在此频率下,读取八位的AD数据并存储供处理使用,根据实际需要转换成模拟电平。-ADC conversion of the VHDL code, the use of counter divider to generate a 1MHz frequency, frequency, read eight of the AD data and stored for processing, according to the actual n
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1009
    • 提供者:苏小白
  1. FPGA-based-frequency-counter

    0下载:
  2. 文章主要介绍了使用VHDL实现数字频率计的功能,其中包含了各部件的VHDL语言描述,仿真和大致硬件框图,对于初学EDA者大有帮助。-The article introduces the VHDL realization of the functionality of the digital frequency meter, which contains the hardware block diagram of the various components of the VHDL languag
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:776981
    • 提供者:金刚
  1. projectaq1.cr

    0下载:
  2. Write VHDL specifications for an eight bit twisted ring counter based on each of the designs in the previous problem. Look at the synthesis report generated by the design tools (use the Spartan 2 xc2s15-cs144-6 part for this). How many fli
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:44643
    • 提供者:john
搜珍网 www.dssz.com