CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl frequency counter

搜索资源列表

  1. ADC_Fre_counter_LED_keyboard

    0下载:
  2. FPGA tlc0820采样控制 高精度测频 LED键盘显示 VHDl 调试与EP1C3-FPGA vhdl ADC LED keyboard frequency counter test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2595895
    • 提供者:Albert Sun
  1. shiyan6

    0下载:
  2. 一个8位的十进制频率计数器,功能经过测试.-An 8-bit decimal frequency counter, function tested.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1305
    • 提供者:何情
  1. freqm

    0下载:
  2. 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1054140
    • 提供者:tom
  1. freqm

    0下载:
  2. a simple implementation of a frequency meter with the BCD-counter and the 7-segment LED display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13084
    • 提供者:wangfeng
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. counter

    0下载:
  2. 频率计,自动记录信号波形,宽频,四位,自动换挡-Frequency counter, automatic recording signal waveform, broadband, 4, auto-shift
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:138489
    • 提供者:那唐
  1. counter

    0下载:
  2. 用VHDL语言实现的计时器,最大计时为24小时,计时精度为1ms,设有复位和暂停功能,使用的晶振频率为50Hz。-VHDL language implementation of the timer with a maximum time of 24 hours, timing accuracy of 1ms, with reset, and pause functions, using the crystal oscillator frequency is 50Hz.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3003
    • 提供者:周峰
  1. DDS

    0下载:
  2. VHDL经典设计 十进制 VHDL 频率计-VHDL classic design metric VHDL frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:277768
    • 提供者:刘思行
  1. vhdl

    0下载:
  2. 用vhdl实现频率计,提出一种用vhdl实现的等精度测频率系统设计。-Frequency counter using vhdl implementation is presented using vhdl achieve precision measurements such as frequency of system design.
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:103578
    • 提供者:salanchen
  1. FPGApinlvji

    0下载:
  2. 当年本科时的毕业设计,信号发生器和频率计-The time of the year undergraduate graduate design, signal generator and frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:10384
    • 提供者:zhanglei
  1. frequencycounter

    0下载:
  2. 一个简单大家容易看的懂的频率计设计程序,可以实现自动换挡功能。-A simple and easy to see to understand all of the frequency counter design program that can automatically shift feature.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:1851
    • 提供者:zhangliang
  1. 10512210247008

    0下载:
  2. 该数字式相位测量仪以单片机 (89c52) 为核心 , 通过高速计数器 CD4040 为计数器计算脉冲个数从 , 而达到计算相位的要求 , 通过 8279 驱动数码管显示正弦波的频率,不采用一般的模拟的振动器产生 , 而是采用单片机产生 , 从而实现了产生到显示的数字化 . 具有产生的频率精确 , 稳定的特点 . 相移部分采用一般的 RC 移相电路 , 节省了成本。-The digital phase-measuring instrument in order to microcontrolle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:145822
    • 提供者:包进辉
  1. counter_bcd7

    0下载:
  2. bcd十进制计数器,用于频率计设计的计数器单元,输出zeros用于选通量程使用!-bcd decimal counter, the counter for frequency counter design unit, the output zeros for the use of strobe range!
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:525
    • 提供者:jim
  1. frequencymeter

    0下载:
  2. 测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。-Measuring the frequency of means, including electronic counter to measure frequencies with high precision, easy to use, fast measurement and easy to realize the advantages of automa
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:14278
    • 提供者:wangzhen
  1. zidongpinlv

    0下载:
  2. 4位自动换挡数字频率计设计 1、 由一个4位十进制数码管(含小数点)显示结果; 2、 测量范围为1Hz~9999KHz; 3、 能自动根据7位十进制的结果,自动选择有效数据的高4位进行动态显示(即量程自动转换),小数点表示是千位,即KHz; 4、 为检测设计正确与否,应将时钟通过PLL和手控分频器产生宽范围的多个频率来测试自动换档频率计功能。 -4 automatic transmission design a digital frequency meter, by a 4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:354577
    • 提供者:李伦特
  1. counter

    0下载:
  2. 这是一个从零计数到9999在归零的vhdl程序,程序不复杂,对于理解分频原理,数码管显示原理有很大的帮助-This is a count from zero to zero in the vhdl program in 9999, the program is not complicated, the principle for understanding the frequency, digital display of great help to the principle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1351
    • 提供者:王冰
  1. Frequency-Counter

    0下载:
  2. 频率计,可以精确的测量仪器的工作周期,频率,测量精确度高-Frequency, precision measuring instruments for the duty cycle, frequency, high precision measurement
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:3028
    • 提供者:wulei
  1. Frequency-counter

    0下载:
  2. 基于FPGA的频率计设计。通过FPGA运用、 HDL编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS II集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,仿真和实验结果表明,该频率计有较高的实用性和可靠性。-Frequency counter FPGA-based design. By using FPGA, VHDL programming, the use of FPGA (fi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:596239
    • 提供者:吴亮
  1. frequency-counter

    0下载:
  2. 这是使用VHDL语言编写的频率计数器源码。包含了各个模块以及主函数。-This is the source of the frequency counter using VHDL language. Contains various modules and the main function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1296
    • 提供者:张鑫
  1. Frequency

    0下载:
  2. 用VHDL语言实现频率计功能,加载到FPGA可以立即实现-With VHDL frequency counter function can be realized immediately loaded into the FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:1510
    • 提供者:张中
« 12 3 4 »
搜珍网 www.dssz.com