CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl inout

搜索资源列表

  1. VHDL 编程要注意问题

    0下载:
  2. VHDL 共定义了 5 种类型的端口,分别是 In, Out,Inout, Buffer及 Linkage,实际设计时只会用到前四种。。。
  3. 所属分类:编程文档

  1. Inpout32

    0下载:
  2. 32 bit inout mux for embedded design
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:19460
    • 提供者:kingtut
  1. lab4

    0下载:
  2. vhdl uart lab ENTITY uart IS PORT ( SIGNAL clock,reset : IN STD_LOGIC SIGNAL sdatain : IN STD_LOGIC SIGNAL oready, sdataout : INOUT STD_LOGIC SIGNAL iready : INOUT STD_LOGIC SIGNAL charin : INOUT STD_L
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:10624
    • 提供者:work
  1. DMA

    0下载:
  2. DMA controller VHDL code entity dma is generic ( ADDR_WIDTH : integer := 16 -- default value DATA_WIDTH : integer := 16 -- default value ) port ( RESET_L : in std_logic CLK : in std_logic DRQ_L : in std_logic DMAA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-02
    • 文件大小:2324
    • 提供者:Vlad
  1. inout-vhdl

    1下载:
  2. c p u 读inout 端口的vhdl 程序-Read inout port vhdl program
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-07
    • 文件大小:746
    • 提供者:可新迪
  1. INOUT

    0下载:
  2. 一个实现特定功能的FPGA程序,使用VHDL语言编写,用于排除FPGA影响,检测电路中其他芯片是否正常工作-A function of the FPGA to achieve a specific program, the use of VHDL language for FPGA exclude the impact of other chip detection circuit is working properly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:256560
    • 提供者:周周
  1. ReadWrite-RAM-VHDL-source-code

    0下载:
  2. This page of VHDL source code covers read RAM and write to RAM vhdl code. RAM stands for Random Access memory.It is a form of data storage for various applications. 1K refers 10 lines used for Address bus (as 2^10=1024) 8 refers Data Bus
  3. 所属分类:Education soft system

    • 发布日期:2017-04-11
    • 文件大小:871
    • 提供者:ss
搜珍网 www.dssz.com