CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl-多功能 电子

搜索资源列表

  1. eqingdaqi

    1下载:
  2. VHDL电子抢答器的实现。有多个文件,主控件是用图行实现。其余各功能模块用VHDL实现-VHDL electronic Responder realized. A number of documents, the main controls are using maps the bank. The remaining modules using VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1055728
    • 提供者:运思
  1. DigitalClockVHDL

    0下载:
  2. 多功能电子时钟的VHDL源代码。使用MAX+PLUS II进行编译。该文档有详细的说明和程序注释。-VHDL source code. Use MAX PLUS II computer. The document is described in detail in the Notes and procedures.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:84617
    • 提供者:wangyiran
  1. duogongnengdianzishuzizhong

    0下载:
  2. 多功能电子数字钟vhdl 计算机专业课程设计必备
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:43462
    • 提供者:李久鑫
  1. vhdl-多功能电子表

    1下载:
  2. 这是一个用vhdl编的多功能电子秒表,可以记录几个人的时间,并且可以在跑秒的时候查看记录。。〔原创〕-This is a series with VHDL multifunctional electronic stopwatch, can be recorded by several people, and that they could run in the second examined the records. . [Original]
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5527
    • 提供者:王继东
  1. 基于verilog HDL语言的电子钟

    0下载:
  2. 基于verilog HDL语言的电子钟,多功能电子时钟,Verilog HDL language-based electronic bell, electronic multi-function clock
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-28
    • 文件大小:185082
    • 提供者:哈哈
  1. clock

    0下载:
  2. 多功能电子时钟,具有时间显示,时间调整等功能。-Multi-function electronic clocks, time display, time adjustment functions.
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:2054
    • 提供者:xuejing
  1. clock

    0下载:
  2. 时钟的vhdl实现,具有打铃等功能,是一个很好的实现,我们做电子竞赛的源代码-VHDL clock to achieve a play-ling and other functions, is a good realization, we have the source code of the electronic competition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1028
    • 提供者:王培新
  1. digital_clk

    0下载:
  2. 该工程的主要功能是由VHDL语言实现多功能数字电子时钟-The project s main function is to achieve by the VHDL language multifunction digital electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:497614
    • 提供者:问路人
  1. 128634vhdl-sirenqiangdaqi

    0下载:
  2. 多功能电子抢答器vhdl 计算机专业课程设计必备。初学者的好帮手。-Answer vhdl electronic multi-function devices required computer science curriculum design. Good help for beginners.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:160075
    • 提供者:刘蕾
  1. digitalclock

    0下载:
  2. 数字电子钟,24时制计时,带有调时功能,对分秒时分别进行调整。-Digital electronic clock, 24 when the system time with when the transfer function, minutes and seconds, respectively to adjust.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:278558
    • 提供者:gu
  1. watch

    0下载:
  2. 本文件为电子设计而开发的多功能数字钟VHDL语言完整源代码 --该数字钟实现的功能有时间,秒表,闹钟,年月日的显示设置等 -This document is multi-functional electronic design and development of a complete VHDL, digital clock source code- the digital clock function can be achieved time, stopwatch, alarm clo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:526451
    • 提供者:钟祥
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
  1. clock_fpga

    0下载:
  2. 基于VHDL的FPGA设计,设计一款多功能的电子定时器,包括计时跟倒计时。-VHDL-based FPGA design, design a versatile electronic timers, including the timing with the countdown.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5512
    • 提供者:shiyan chen
搜珍网 www.dssz.com