CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 七段数码管

搜索资源列表

  1. jtd1

    0下载:
  2. 交通灯实现的源程序,可实现简单的交通灯控制,用七段数码管显示时间-The realization of the source of traffic lights, can be a simple traffic light control, with Seven-Segment LED Display Time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:971
    • 提供者:老大
  1. Seven-Segment-LED

    0下载:
  2. 七段数码管按键识别,按下1键显示1,按下2键显示2-Seven-Segment LED identification keys, press the 1 key to show the 1, press 2 to display 2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:236216
    • 提供者:蔡宇佳
  1. xianshiqi

    0下载:
  2. 显示扫描程序,用于与C51单片机相连的七段数码管式显示。-Display scanning process for the C51 single-chip connected with the Seven-Segment LED display.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:584
    • 提供者:zhangbipeng
  1. LED

    0下载:
  2. LED七段数码管数字钟: 1.设计并完成LED七段数码管数字钟电路。 2.数字钟显示格式为:HH:MM:SS。 3.具有通过键盘能够调整时、分、秒的功能。 -Seven-Segment LED digital tube digital clock: 1. Design and completion of Seven-Segment LED digital tube digital clock circuit. 2. Digital clock display format: H
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:347536
    • 提供者:wei
  1. PCKL

    0下载:
  2. pckl 设计一个定时显示装置,用六个七段数码管显示年月日,日期每一秒变化一次,并能从小键盘上控制走时,显示和初始值预置 C 清除计数 G 启动计数 D 暂停计数 P 设置初值 E 退出程序-pckl 设计一个定时显示装置,用六个七段数码管显示年月日,日期每一秒变化一次,并能从小键盘上控制走时,显示和初始值预置 C 清除计数 G 启动计数 D 暂停计数 P 设置初值 E
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:1810
    • 提供者:wendy1308
  1. PCLED

    0下载:
  2. 用七段数码管显示分,秒值开始运行,时间值为00-00,每隔1秒,时间值变化一次,从键盘上按任意键退出
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:943
    • 提供者:wendy1308
  1. 1

    0下载:
  2. 采集0-7路输入来自直流源经分压器产生的0~5 V直流电压(可功能扩展,8路可接不同的传感器,采集不同的信号,例如:温度、湿度、图象、声音等)ADC0809将各路模拟信号分别转换成8位二进制数字信号,再对各路数据进行显示。各路通道采集方式为以约5s为周期循环采集(即0路、1路……7路、0路…)约每5ms更新,重新采集一次。显示方式为循环显示和单路显示:开关打开时,循环显示0-7路采集的数据;开关闭合时,显示当前单路采集的数据。3个七段数码管显示结果:最左边的一个数码管DS0显示通道号,其它两个数
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:1310
    • 提供者:廖婷
  1. 111

    0下载:
  2. 设计一个简单的电子秒表(按一下钮启动,再按一个停止,再按一下清零,周而复始。)最小计时单位0.01S,即可显示XX.XX(秒、百分之一秒)。设计要求如下: (1)由键盘和8255作为输入部分 (2)用七段数码管或液晶显示屏来显示时间 (3)由8253和8259构成计时信号,通过中断完成启动计时、停止计时和动态刷新显示。 -Realize the use of assembly language simulation program to master the electronic
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:18056
    • 提供者:run
  1. kexiugaisj

    0下载:
  2. 51单片机小程序,P0.0-P0.3外接7447七段数码段选,P0.4-P0.6外接7438为七段数码管位选,P2口为4*4矩阵键盘第十号键为修改小时,第十一号键为修改分钟,其它每一行对号入座-51 SCM applet, P0.0-P0.3 external digital seventh paragraph 7447 election, P0.4-P0.6 external 7438-bit digital tube for the seventh election, P2 mouth fo
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:851
    • 提供者:binge
  1. 7

    0下载:
  2. vhdl七段数码管显示程序,上机实验过,完全正确-Seven-Segment LED display vhdl procedure on the experimental machine, and absolutely correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4011
    • 提供者:weimin
  1. Text1

    0下载:
  2. 计数器的设计,用七段数码管先是两位,满60进位。参考开发板程序-Counter design, digital tube first paragraph two, the age of 60 binary. Reference development board procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:586
    • 提供者:youhuicheng
  1. frequency

    0下载:
  2. 简易数字频率计: 1、频率计的测频范围为1Hz~10KHz,被测量的信号为TTL逻辑的标准方波信号;2、为提高测量精度,采用计数法(≥1000Hz)和测周法(<1000Hz)相结合的方法进行测量;3、显示器采用6位七段数码管,显示器的无效零应消隐。信号≥1000Hz时不显示小数点,信号<1000Hz时显示小数,小数点后取两位。 -Simple digital frequency meter: 1, frequency of measurement frequency range of 1
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:2989
    • 提供者:zhy
  1. abc

    0下载:
  2. 按键控制四位七段数码管输出,可以选择性输出1-9数-Paragraph four buttons control the digital control output, the number can selectively output 1-9
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:810
    • 提供者:nihaojttx
  1. verilog2

    0下载:
  2. 本代码在Quartus II 9.0 (32-Bit)环境编译运行,使用SOPC_NIOSIIFPGA开发板,可作为入门级代码讲解,将50MHZ的频率改为1MHZ,并以此频率为基准计数显示在七段数码管上。(采用verilog语言)-The code in Quartus II 9.0 (32-Bit) environment to run the compiler, the use of SOPC_NIOSIIFPGA development board, entry-level code ca
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:339688
    • 提供者:谢尔曼
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进位Cin相加赋给SINT,并将SINT的低4位赋给加数和S输
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:8994
    • 提供者:SAM
  1. 11

    0下载:
  2. 关于电动机的proteus仿真电路,还带有七段数码管在外部中断的作用下实现自加功能。
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:17739
    • 提供者:grjao
  1. DECODE4_7

    1下载:
  2. BCD码到七段数码管的显示程序,已成功综合,仿真通过-BCD code to the Seven-Segment LED display procedures have been successfully integrated, simulation through
  3. 所属分类:source in ebook

    • 发布日期:2017-04-16
    • 文件大小:111458
    • 提供者:chendongkui
  1. VHDLCODE

    0下载:
  2. VHDL的一些典型源代码,有七段数码管译码器,格雷码转换为二进制码,八位数字比较器等等。-Typical VHDL source code, there are Seven-Segment LED Decoder, Gray code is converted to binary code, the eight figures and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:160598
    • 提供者:李军
  1. PicoBlaze_Embedded

    0下载:
  2. xilinx Picoblaze的例子,再PBus总线上挂接了按键模块、VGA输出模块和一个七段数码管显示模块-xilinx Picoblaze example, re-articulated bus PBus the key modules, VGA output module and a Seven-Segment LED display module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1403719
    • 提供者:曹晶
  1. SEVEN_SEGMENT_DISPLAY

    1下载:
  2. keil下,c8051f020七段数码管显示程序-keil under, c8051f020 Seven-Segment LED display program
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:29661
    • 提供者:skyblue
« 1 2 3 4 5 6 78 9 10 11 12 ... 27 »
搜珍网 www.dssz.com