CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 伪随机序列

搜索资源列表

  1. 用伪随机序列实现加密保护

    0下载:
  2. 本文讨论了用伪随机序列实现加密保护的原理,方法及可编程逻辑器件(pLD)实现的具体方法,在此基础上 介绍了一种基于FPGA的网络传输信道加密系统。 关键词 网络安全 伪随机序列 加密 FPGA
  3. 所属分类:嵌入式/单片机编程

  1. 动态伪随机序列加密设计与实现

    1下载:
  2. 该文从密码学的角度阐述动态伪随机序列加密法, 详细分析了这种加密法的原理和特点, 文中给出这种加密法的源程序, 利用统计法对这种加密方法的加密效果进行了测试, 并对破译密文的可能性作了分析。
  3. 所属分类:文档资料

  1. 伪随机序列matlab程序

    0下载:
  2. 所属分类:源码下载

  1. m-sequence.rar

    1下载:
  2. 伪随机序列产生器源代码,Pseudo-random sequence generator source code
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:2807
    • 提供者:谭硕
  1. PN_Generator.rar

    0下载:
  2. 用Verilog编写的一个简单的产生伪随机序列的代码(m序列),比较实用。,Verilog prepared with the emergence of a simple pseudo-random code sequence (m sequence), more practical.
  3. 所属分类:matlab例程

    • 发布日期:2017-03-23
    • 文件大小:117177
    • 提供者:龚阳
  1. m_PN.rar

    1下载:
  2. 伪随机码或称PN码,是扩频通信中不可缺少的一种序列。扩频通信,简单的说来就是通过一组相关性很强的伪随机序列,使原有的信号按照伪随机序列的特性出现。从波形上看,原有信号完全淹没于随机的噪声中,达到隐藏信号和抗干扰的目的。接受方只要有相同的伪随机序列,就可以通过伪随机序列很强的相关性将信号还原出来。而m序列,是扩频系统中最长用的伪随机序列。下面的代码就是基于matlab的生成m序列的代码,通过改变初始多项式的值,就可以生成不同阶数的m序列。,Pseudo-random code or PN code
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:794
    • 提供者:fortunewjh0217
  1. dpsk.rar

    0下载:
  2. 使用matlab编程,首先编写M位的伪随机序列,然后调用产生dpsk信号 ,Matlab programming produced by DPSK signal
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:1264
    • 提供者:黄旋
  1. m_vhdl

    0下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)-m sequence vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:661
    • 提供者:
  1. mseq

    0下载:
  2. MATLAB实现的伪随机序列产生器源代码接收机建模-MATLAB implementation of the pseudo-random sequence generator source code for the receiver modeling
  3. 所属分类:3G develop

    • 发布日期:2017-03-24
    • 文件大小:2805
    • 提供者:秦志杰
  1. c21_pn_code_generator

    0下载:
  2. 精通verilog HDL语言编程源码之7——伪随机序列应用设计-Proficient in programming language source verilog HDL of 7- the application of pseudo-random sequence design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1193
    • 提供者:李平
  1. msequence

    0下载:
  2. m序列生成函数,可在matlab环境下调用生成伪随机序列-m sequence generation function can be generated in matlab environment, called pseudo-random sequence
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:587
    • 提供者:krnrwa
  1. themethodofcreatPRBS

    0下载:
  2. 产生伪随机序列的方法,C语言编写,调试通过。-Generate pseudo-random sequence, C languages, debugging through.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:7373
    • 提供者:陈涛
  1. LFSR

    0下载:
  2. 伪随机序列产生器,线性反馈移位寄存器,原代码。-Pseudo-random sequence generator, linear feedback shift register, the original code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:163107
    • 提供者:李辛
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,matlab 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, matlab source code.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1183
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,电子书。-Pseudo-random sequence generator- on behalf of binary feedback shift register, e-books.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:707047
    • 提供者:李辛
  1. 2.PRBSvc

    0下载:
  2. 用VC编的伪随机序列 很好的c语言程序 辨识用-VC allocated by the pseudo-random sequence c good language program used to identify
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:7372
    • 提供者:左小青
  1. kuopin

    0下载:
  2. 生成m序列和gold的matlab程序代码,可以作为扩频序列的伪随机序列。-M sequence and gold to generate the matlab program code can be used as spreading sequences of pseudo-random sequence.
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:4159
    • 提供者:明飞
  1. prbsforip

    0下载:
  2. 本文设计了一种简捷而又高效的伪随机序列产生方法,最后通过统计对比,说名这种方法产生的随机序列不仅周期长 还具有两好的随机特性-This paper designed a simple and efficient method for the selection of pseudo-random sequence, and finally through statistical comparison, saying that this method of random sequence gen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:268622
    • 提供者:5656
  1. VHDL

    0下载:
  2. 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2404
    • 提供者:qiumh
  1. 伪随机序列

    0下载:
  2. 如果一个序列,一方面它是可以预先确定的,并且是可以重复地生产和复制的;一方面它又具有某种随机序列的随机特性(即统计特性),我们便称这种序列为伪随机序列。(If a sequence is predefined on the one hand, it can be produced and replicated repeatedly; on the one hand, it has a random sequence of random properties (i.e. statistical p
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:2048
    • 提供者:huluxldf
« 12 3 4 5 6 7 8 9 10 ... 15 »
搜珍网 www.dssz.com