CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 出租车

搜索资源列表

  1. taxi

    0下载:
  2. 出租车计费器系统。起步价,3km后按1.2元/km计算,当计费器达到20元时,每千米加收50 的车费。车停止和暂停时不计费。-Taxi billing system. Pricing starts, 3km after 1.2/km calculated that when the meter reached 20 yuan per km additional 50 of the fare. Cars do not stop and suspend billing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1961
    • 提供者:dong
  1. Proteus-basedmicrocontrollerdesignTaximeter

    0下载:
  2. 基于Proteus的单片机出租车计价器的设计,是一篇文献,供参考-Based on the Proteus Taximeter microcontroller design, is a document for reference
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:433431
    • 提供者:hanyue
  1. ttt

    0下载:
  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。-The system is the use of VHDL language, PLD design taxi billing system to MAX+ PLUS Ⅱ software as a development platform designed billing syste
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:183616
    • 提供者:cch
  1. chuzuche

    0下载:
  2. 基于单片机的出租车计费系统 有论文和程序 很安逸-MCU-based billing system taxi papers and procedures are easy
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-28
    • 文件大小:293992
    • 提供者:wangxiaoying
  1. chuzuchejifeixitong

    0下载:
  2. 设计一个出租车计费系统: 起步价为5元(2km以内),2km后,0.8元/0.5km 要求每500m刷新计费一次,在8位数码管中,前四位显示数码管显示里程数,后四位数码管显示价钱(角,元,十元,百元) -Billing system to design a taxi: Pricing starts at 5 yuan (2km or less), 2km after the 0.8 yuan/0.5km billing refresh every 500m time, in the
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:10217
    • 提供者:卿卿
  1. Taxi

    0下载:
  2. 基于SPCE061A的出租车计价器,液晶显示,语音提示-Based on the SPCE061A Taximeter, liquid crystal display, voice prompts
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:802684
    • 提供者:梦成
  1. jijiaqi

    0下载:
  2. 一种基于51单片机的出租车计价器的设计 配合CPLD程序-51 single-chip based on the design of Taximeter with cpld program
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:1457
    • 提供者:钱敏明
  1. chuzuchejijiaqisuoyou

    0下载:
  2. 基于单片机设计的出租车计价器,是本网站最全面的。耗费5个小时整理的。大家多交流-Single-chip design based on the taxi meter is the most comprehensive on this website. Spent five hours finishing. Members will exchange
  3. 所属分类:SCM

    • 发布日期:2017-05-26
    • 文件大小:9706620
    • 提供者:毛庆柱
  1. vhdl

    0下载:
  2. 出租车计价器的vhdl语言描述,最新修改过的-Taximeter vhdl language descr iption of the latest revised
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:28108
    • 提供者:lvlv
  1. 1

    0下载:
  2. 出租车计价器,包含对可编程器件的控制产生音乐和模拟控制车速的判断。-Taximeter, including the control of the programmable devices have a music and analog control to determine speed.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:115414
    • 提供者:柯鸿彬
  1. vhdl

    0下载:
  2. VHDL的论文,有关出租车计费器的设计,很好。-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3120
    • 提供者:黄凯
  1. 08080212343731

    0下载:
  2. 有关单片机出租车计价器的单片机课程设计,详细介绍了单片机的应用与原理,介绍了单片机的应用。-Of the single-chip microcontroller Taximeter curriculum design, detailed information on the application and the principle of single-chip, introduced single-chip applications.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:162455
    • 提供者:llt
  1. chuzuzhejijiaqi

    0下载:
  2. 该设计的主要内容是通过C51单片机上的数码显示器来模拟出租车计价器的路程显示表,通过单片机上的键盘上的某些键来表示开始、暂停、复位等功能。步骤如下:首先,程序开始做一些必要的初始化工作,等待键盘输入。然后,启动键按下(0)后LED数码管开始记录路程并显示。最后,当需要停止是可按相应的键(F)对路程计数器进行暂停。而且还可以复位(E)-The main elements of the design through the C51 single-chip digital display to sim
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:101509
    • 提供者:杨俊
  1. vhdl

    0下载:
  2. :以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。-: A Shanghai taxi meter area for example, the use of Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:212299
    • 提供者:mindy
  1. chuzhuche2

    0下载:
  2. VHDL语言设计的出租车计费器,能模拟汽车启动、停止、暂停、车速等状态,能预置起步费、每公里收费、车行加费里程,能实现计费功能。功能强大,初学者适合看一看。-VHDL language design taxi billing, and can simulate the vehicle to start, stop, pause, speed, etc., and to preset the initial charges, fees and charges per kilometer, plus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1896698
    • 提供者:阿Q
  1. Taxi-meter

    0下载:
  2. 出租车计费器,在maxplus2环境中分5个模块设计出租车计费器-Taxi meter
  3. 所属分类:transportation applications

    • 发布日期:2017-04-03
    • 文件大小:22404
    • 提供者:yen
  1. chuzuchejijiaqi

    0下载:
  2. 出租车计价器,按键切换显示金额,里程和车速-Taximeter, key switch shows the amount of mileage and speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1568
    • 提供者:daojixiedai
  1. taxi

    0下载:
  2. 文件名:taxi.hd。 功能:出租车计价器。 -File Name: taxi.hd. Function: taxi meter.
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:85870
    • 提供者:Aria
  1. taxi

    1下载:
  2. 用verilog写的基于cpld的出租车计费器的源码,需要的参考一下-Use verilog to write a taxi based cpld billing device source code, need to refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1282030
    • 提供者:许璐璐
  1. taxi

    0下载:
  2. 出租车自动计价器设计 要求: 计一个出租车计价器。 该计价器的计费系统:行程 3公里内,且等待累计时间2分钟内,起步费为10元;3公里外以每公里1.6元计费,等待累计时间2分钟外以每分钟以1.5元计费。 并能显示行驶公里数、等待累计时间、总费用。 -Taxi automatic meter design requirements: 1 meter taxi meter. The meter' s billing system: stroke within 3
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-24
    • 文件大小:54124
    • 提供者:dws
« 1 2 3 45 6 7 8 9 10 ... 31 »
搜珍网 www.dssz.com