CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 分频器

搜索资源列表

  1. shuzizhongdianlu

    0下载:
  2. 利用计数器和分频器设计一个实时的时钟。一共需要1个模24计数器、2个模6计数器、2个模10计数器、一个生成1Hz的分频器和6个数码管解码器。最终用HEX5~HEX4显示小时(0~23),用HEX3~HEX2显示分钟(0~59),用HEX1~HEX0显示秒钟(0~59)。 -The use of counters and prescaler design a real-time clock. Mold needs a total of 24 counters, 2 Die 6 counters,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1043
    • 提供者:linyao
  1. ch5_8

    0下载:
  2. 用VHDL写的一个5/8分频器,希望对刚学习VHDL的朋友有帮助-Use VHDL to write a 5/8 prescaler, and they hope to study VHDL friends just have to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:144567
    • 提供者:陈阿水
  1. divp5

    0下载:
  2. fpga上实现的最小是0.5分频的任意分频器-FPGA to achieve the minimum 0.5 hours are arbitrary frequency divider
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:848
    • 提供者:王石子
  1. measurefrequence

    0下载:
  2. 本系统采用51单片机和一些用做分频器的数字芯片,用液晶显示频率值。可以精确到小数点后两位,响应时间短。-The system uses a single-chip microcomputer 51 and some used to do figure divider chips, liquid crystal display with frequency value. Can be accurate to two decimal places, a short response time.
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:27919
    • 提供者:付华东
  1. phase_div

    0下载:
  2. 相位分频器源代码,正确,测试通过-Phase divider source code, correct, test
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1109
    • 提供者:WangYong
  1. fpq

    0下载:
  2. ISP实验分频器源程序,用VHDL写的,在x3s200an芯片上编译的-ISP prescaler source experiment, using VHDL written in compiled x3s200an chip
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:811
    • 提供者:ylh
  1. nfenpin

    0下载:
  2. N分频器则是一个简单的除N 计数器。分频器对脉冲加减电路的输出脉冲再进行N分频,得到整个环路的输出信号Fout。-N divider is a simple addition to N counter. Addition and subtraction of the pulse divider circuit output pulse frequency N again, the whole loop of the output signal Fout.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1190
    • 提供者:hellen
  1. divider

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:12621
    • 提供者:PoLo
  1. divNfreq

    0下载:
  2. 参数化分频器,以5为例,能很方便的扩展到参数N-osedge and negedge using common counter "cnt" parameter N is the double number of frequence division
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:764
    • 提供者:leng
  1. fenpin

    0下载:
  2. 此为EDA设计的分频器模块。可以实现三种不同的频率信号,可以通过使用者自由设置频率大小-This is the design of the divider module EDA. Can achieve three different frequency signals, users can freely set the frequency of the size of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:208462
    • 提供者:lj
  1. shukongfenpin

    0下载:
  2. 数控分频器的输出信号频率为输入数据的函数。用传统的方法设计,其设计过程和电路都比较复杂,且设计成 果的可修改性和可移植性都较差。基于VHDL 的数控分频器设计,整个过程简单、快捷,极易修改,可移植性强。他可利用 并行预置数的加法计数器和减法计数器实现。广泛应用于电子仪器、乐器等数字电子系统中。-NC divider output signal frequency is a function of input data. Using traditional methods of desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:173768
    • 提供者:邱颖
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. OddFP

    0下载:
  2. verilog实现的奇数分频器 针对任何规模的奇数分频-verilog prescaler for the realization of the odd-numbered odd-numbered points of any size-frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:578
    • 提供者:李丹
  1. fenpinqi

    0下载:
  2. 此文件为EDA的8位分频器,但可以用于不同位分频器,如:1位到10位等,用Quartus软件来,以文件VHD格式编译即可-This document is for EDA 8-bit prescaler, the prescaler can be used in different places, such as: 1-10 and so on, using Quartus software to VHD format file can be compiled
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:119235
    • 提供者:ni yeye
  1. 38.58

    0下载:
  2. 基于VDHL的38译码器的实现与58分频器的实现 FPGA主芯片:CycloneII EP2C35F672C6-Based on VDHL decoder 38 with the divider 58 to achieve the main FPGA chip: CycloneII EP2C35F672C6
  3. 所属分类:Other systems

    • 发布日期:2017-05-17
    • 文件大小:4600061
    • 提供者:alan
  1. fenpin

    0下载:
  2. 分频器 8分频器 50 已经测试 可以用 代码可更改-Divider divider 8 has 50 percent can be used to test the code can change
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:104039
    • 提供者:杨兴华
  1. div8

    0下载:
  2. 分频系数为8,分频输出信号占空比为50 的分频器-Frequency factor of 8, sub-frequency output signal duty cycle to 50 of the prescaler
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:108397
    • 提供者:Moskey
  1. 58

    0下载:
  2. 5/8分频器,实现分频功能,受外部周期信号激励的震荡,其频率恰为激励信号频率的纯分数,都叫做分频。-5/8frequency demultiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:801
    • 提供者:柳苏
  1. Quartus32

    0下载:
  2. 1.8421码十进制计数器 2.分频系数为8,占空比为0.5的分频器 3.控制8个二极管的电路-Counter 2 decimal 1.8421 yards. Sub-frequency coefficient of 8, duty cycle of the divider 3 for the 0.5. 8 diode control circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:851
    • 提供者:胡志伟
  1. Designofanon-integerdivider

    0下载:
  2. 设计一个非整数分频器用分针数来分频,微机原理的作业-Design of a non-integer divider
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-17
    • 文件大小:28386
    • 提供者:然斯
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 41 »
搜珍网 www.dssz.com