CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加

搜索资源列表

  1. 修改加载百分百V8带轮训(内存加载)

    2下载:
  2. 一款内存加载带轮训的远控源码,值得大家研究开发(A memory load with rotation in the remote control code, worth us study)
  3. 所属分类:系统/网络安全

    • 发布日期:2017-12-19
    • 文件大小:1242112
    • 提供者:杀毒
  1. 加噪及阈值去噪GAI

    0下载:
  2. MATLAB用于对图形图像的加噪及阈值去噪GAI(Noise enhancement and threshold denoising GAI)
  3. 所属分类:图形图象

    • 发布日期:2017-12-19
    • 文件大小:1024
    • 提供者:小莫洛
  1. 加减速

    0下载:
  2. 51单片机实现马达的加减速,功能可进行扩展,移植方便。(51 single-chip microcomputer realizes the acceleration and deceleration of the motor, the function can be expanded and portable.)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-23
    • 文件大小:25600
    • 提供者:MRmuye
  1. ADC10加显示

    0下载:
  2. AD10加显示MSP430f149驱动测试中(AD10 add display MSP430f149 drive test)
  3. 所属分类:其他

    • 发布日期:2017-12-20
    • 文件大小:32768
    • 提供者:竹海石月
  1. 加群

    1下载:
  2. 一键加群的源码 可以用做宣传群 放在辅助文件夹里方便宣传(A plus group source code can be used as propaganda group, in the auxiliary folder, easy publicity)
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:2703360
    • 提供者:帅末
  1. 数据加窗与混叠

    0下载:
  2. 对数据进行加窗处理(切比雪夫窗),加窗处理后然后再进行混叠处理,通过频域来看出加窗与混叠对数据的影响(Windowed data processing (Chebyshev window), windowed processing, and then aliasing processing, through the frequency domain to see the window and aliasing impact on the data)
  3. 所属分类:数值算法/人工智能

    • 发布日期:2017-12-24
    • 文件大小:1024
    • 提供者:infra
  1. c语言实现加减乘除

    0下载:
  2. 运行该程序编程可以实现加减乘除的简单计算器(Using the C programming language can achieve add, subtract, multiply and divide)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:43008
    • 提供者:栀子花
  1. matlab图像的加减乘除法运算

    0下载:
  2. matlab图像的加减乘除法运算用于图像的各类运算(The calculation method of add, subtract, multiply and divide matlab images)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-13
    • 文件大小:2302
    • 提供者:liuxinglvn
  1. 5-CSS3发光Loading加载动画

    0下载:
  2. 发光Loading加载动画,用于翻页、动态加载等待时效果(Flash Loading loading animation, used for page flip, dynamic loading, waiting effect)
  3. 所属分类:图形图象

    • 发布日期:2017-12-24
    • 文件大小:34816
    • 提供者:LLXM
  1. 常用加法器设计

    0下载:
  2. 采用Verilog设计的几种常用加法器。(several adder designed by Verilog)
  3. 所属分类:硬件设计

    • 发布日期:2017-12-26
    • 文件大小:1024
    • 提供者:熊猫松松
  1. 不预加载flv

    0下载:
  2. 由于打开网站,自动加载视频,导致服务器其他网站的带宽受影响,使用这个可以做到点击才进行加载视频,需要挂载到IIS或者tomcat上才可以运行。(must use in IIS or tomcat)
  3. 所属分类:其他

    • 发布日期:2017-12-29
    • 文件大小:164864
    • 提供者:nothing at all
  1. 自定义上拉加载,下拉刷新

    0下载:
  2. 自定义上拉加载,下拉刷新两个项目分开使用(Custom pull up, drop pull, refresh)
  3. 所属分类:Java编程

    • 发布日期:2017-12-17
    • 文件大小:4473856
    • 提供者:羽落
  1. iscroll下拉刷新上拉加载

    0下载:
  2. 前台使用Javascr ipt实现下拉刷新、上拉加载(The front desk uses Javascr ipt to implement pull down, pull up, and load)
  3. 所属分类:android开发

    • 发布日期:2017-12-27
    • 文件大小:26624
    • 提供者:林雉
  1. 加泥面高程序

    0下载:
  2. 此插件能解决测量行业外业高程点采集不够能在CAD中加水田泥面高程点(This plug-in can solve the measurement industry field elevation point collection is not enough to add elevation points in CAD paddy soil)
  3. 所属分类:其他

  1. 53-2按键加减操作

    0下载:
  2. 53-2按键加减操作(53-2 key addition and subtraction operation)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:11264
    • 提供者:1fh77tdx
  1. 54-多位数按键加减(闪烁)

    0下载:
  2. 54-多位数按键加减(闪烁)(54- multi key buttons add and subtract (blinking))
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:11264
    • 提供者:0iq62kks
  1. 55-多位数按键加减(不闪烁)

    0下载:
  2. 55-多位数按键加减(不闪烁)(55- multi key buttons add and subtract (not blinking))
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:12288
    • 提供者:3df75zll
  1. 案例4加减乘除四则运算

    0下载:
  2. 案例4加减乘除四则运算(Case 4 add, subtract, multiply and divide four operations)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:3072
    • 提供者:5lr51lnh
  1. AES加解密调试

    0下载:
  2. AES加解密 内容 IV key AES调试 多种模式可选择(AES encryption and decryption content IV key debugging)
  3. 所属分类:加密解密

    • 发布日期:2017-12-31
    • 文件大小:18432
    • 提供者:大兄弟pud
  1. qq加群

    0下载:
  2. qq自动加群源码,实现在自动操作,很不错的例子(Qq automatic group of the source code)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:894976
    • 提供者:纤尘
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com