CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 动态扫描

搜索资源列表

  1. choic6-1

    0下载:
  2. 实现六选一的功能,六位四进制输入,实现同步位选,再加一个译码器就可以实现动态扫描和译码了-Achieve the six elected a function of 6 4 binary inputs, synchronization Choice, coupled with a decoder can be dynamically scan and decode the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:45277
    • 提供者:夏强
  1. dongtaisaomiao

    0下载:
  2. VHDL实现led灯的动态扫描,主要对CLK进行分频-VHDL realization led lamp dynamic scan, the main points of the CLK to the frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1422
    • 提供者:李啸宇
  1. Keyboard

    0下载:
  2. 单片机 Keil+proteus 并行口设计数字键盘实验 要求:掌握动态显示、动态扫描键盘的工作原理及硬、软件的设计、调试方法 掌握80C51接口硬件电路设计方法及软件编程方法 了解80C51外部中断的使用和编程方法 实验题目:并行口实现数字0~9、A~F、L、U、P、n总共20个键盘,将按键的结果用7段数码管显示出来。-Parallel Port numeric keypad test requirements: master the dynamic display
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:47062
    • 提供者:ben202
  1. LOVE

    0下载:
  2. 用四位共阴数码管动态扫描静态显示英文字母:LOVE
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:10639
    • 提供者:张龙
  1. count

    0下载:
  2. VHDL语言编写的计数器程序,实现1到9999计数,并动态扫描显示,带清零和暂停功能,课上作业自编程序-VHDL language of the counter program to achieve 1-9999 counts, and the dynamic scan showed, with Clear and suspension of functions, classes, on a self-compiled programs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:97346
    • 提供者:Archimedes Lu
  1. huangshan

    0下载:
  2. 数码管的动态扫描显示,动态扫描节省更多的空间,效率更高-Digital control of dynamic scan shows the dynamic scans to save more space, more efficient
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:241789
    • 提供者:安徽省
  1. 4_2

    0下载:
  2. 用动态扫描方法和定时器1在数码管的前三位显示出秒表, 精确到1 秒,即最后一位显示1 秒,一直循环下去 设时钟频率为12M-Dynamic scanning method and a digital timer control of the top three shows a stopwatch, accurate to 1 of the second and final one showed 1 of the second, has been set clock cycle con
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:1145
    • 提供者:毛佳俊
  1. mcu_led

    0下载:
  2. 基于51单片机数码管动态扫描的源程序,简单易懂,很适合初学单片机的读者,欢迎各位下载。-51 MCU-based digital tube dynamic scan of the source, easy to understand, it is suitable for beginners SCM reader, welcome you to download.
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:670
    • 提供者:潘杰中
  1. xwxs

    0下载:
  2. 4按键输入、8段led数码管显示(包括小数点)。led显示采用动态扫描的方式。-Four key inputs, 8 digital tube led display (including the decimal point). led display dynamic scan approach.
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-10
    • 文件大小:604
    • 提供者:文星
  1. dynamicLED

    0下载:
  2. 基于FPGA采用动态扫描方法设计大屏幕LED显示屏-FPGA dynamic scanning method based on large-screen LED display design
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:373096
    • 提供者:libobo
  1. scan

    0下载:
  2. 动态扫描的VHDL源程序,基于EDA实验的 全部调试正确 直接可以用-Dynamic scan VHDL source code, based on all the EDA experiments can be used directly to debug the correct
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:175879
    • 提供者:彭奇
  1. smg

    0下载:
  2. 数码管动态扫描程序,8255扩展口控制3位数码管动态扫描-smg 8255
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:18610
    • 提供者:李晶磊
  1. lesson4

    0下载:
  2. 动态扫描概念、 定时器、中断加深 用单片机的定时器及中断设计一个60秒定时器 -Dynamic scanning concept, timers, interrupts to deepen with microcontroller timer and interrupt to design a 60-second timer
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:18170
    • 提供者:小为
  1. C51_Source

    0下载:
  2. 51单片机源程序:1-流水灯、2-数码管动态扫描、3-数码管移动、4-输入输出口、5-喇叭、6-按键程序、7-定时器0、8-定时器1、9-中断、12-红外测试、14-24c02_EEPROM读写、21-红外解码数码管显示-51 MCU source: 1- water lamps, 2- Digital control dynamic scan, 3- digital tube mobile, 4- input and output ports, 5- Speaker, 6- key progr
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:205740
    • 提供者:a120730125
  1. sy_010

    0下载:
  2. 定时器1工作于16位计数模式,记录外部脉冲的个数,定时器0工作于定时模式,用于进行动态扫描显示-Timer 1 works in 16-bit counter mode, recording the number of external pulse, timer 0 working in regular mode, is used for dynamic scanning display
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:1359
    • 提供者:薛林
  1. C51

    0下载:
  2. quick51实验例程----数码管的动态扫描-experimental routine---- quick51 digital control of dynamic scanning
  3. 所属分类:SCM

    • 发布日期:2017-04-23
    • 文件大小:22169
    • 提供者:wcj
  1. deg5

    0下载:
  2. 按键扫秒 数码管显示 动态扫描 -Sweep seconds button LED display dynamic scan Ha ha ha
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:2013
    • 提供者:崔小川
  1. Dynamic_scan

    0下载:
  2. 演示单片机用动态扫描方法在数码管显示数字-Demo microcontroller with dynamic scanning method in the digital tube display digital
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:10225
    • 提供者:huwei
  1. DigitalClock

    0下载:
  2. 基于FPGA的数字电子钟设计,系统总程序由分频模块、“时分秒”计数器模块、数据选择模块、报时模块、动态扫描显示和译码模块组成。得到一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外有校时、校分和整点报时功能,并通过数码管驱动电路显示计时结果。-FPGA-based design of digital electronic clock, the system program by the total frequency modul
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:63477
    • 提供者:sunnan
  1. diode

    0下载:
  2. c 数码管动态扫描演示单片机 c语言-diode
  3. 所属分类:Software Testing

    • 发布日期:2017-03-29
    • 文件大小:9660
    • 提供者:aa
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 34 »
搜珍网 www.dssz.com