CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 四人抢答器

搜索资源列表

  1. qiangdaqi.rar

    0下载:
  2. 四人抢答器设计,具有超前抢答显示报警,20秒倒计时超时抢答报警及加分、减分等功能,Answer four design, with advance Answer show alarm, countdown to 20 seconds of overtime Answer alarm and extra points, reducing the classification function
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1910
    • 提供者:小草
  1. vhdlCompetition.rar

    1下载:
  2. 用VHDL设计四人抢答器,vhdl学习的基础,很好用,vhdl competition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-19
    • 文件大小:386981
    • 提供者:吴小平
  1. qiangda

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路 -l, d
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:305207
    • 提供者:hugh
  1. qiangdaqi

    0下载:
  2. 我的四人抢答器设计报告,带各个模块波形,总结和参考书-Answer four of my design report, with each module waveforms, summarize and reference books
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:93954
    • 提供者:dreamy
  1. Answerbrowser

    0下载:
  2. 抢答器原理图,设计为四人抢答器,带主持人功能。-Answer browser schematic design for the four Answer devices with host functions.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:189405
    • 提供者:zxclli2001
  1. environment

    1下载:
  2. VHDL开发环境,四人抢答器,实现了四个人能同时抢答的功能。-VHDL development environment Answer four, and the realization of the four functions at the same time Answer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:827804
    • 提供者:王蕊
  1. 60d6ca74-2851-41a9-80da-47cb0a83a59b

    1下载:
  2. EDA 四人抢答器 有顶层图 实现锁存。清零。-Answer four EDA browser
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:35327
    • 提供者:尹莹
  1. addDisplay

    0下载:
  2. 四人抢答器,用quartus编译过的,vhdl语言,说明详细,欢迎各位下载,-add display led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:330954
    • 提供者:吴小平
  1. Four

    2下载:
  2. 四人抢答器的设计+模拟电子线路,很具有参考价值的文档。有需要的快来下吧。-Answer four design+ simulation of electronic circuits, it is the value of a reference document. Come in need of it.
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:413578
    • 提供者:lever
  1. answeringdevice

    0下载:
  2. 四人抢答器,本设计室根据抢答器的原理,用vhdl语言写的。具有很强的实用价值。-Four Responder, this Responder Design Studio, according to the principle, using vhdl language written. Has a strong practical value.
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:179246
    • 提供者:红儿
  1. qiangdaqi

    0下载:
  2. 四人抢答器,已通过编译,仿真,包括抢答识别、计分、计时、数字显示等功能。-Four Responder, has passed the compilation, simulation, including the answer in his identification, scoring, timing and digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2318
    • 提供者:majianhui
  1. qdq

    0下载:
  2. (1)用于竞赛强大的四人抢答器 (2)抢答开始后20秒倒计,倒计结束后无人抢答显示超时 (3)能显示抢答台号 (4)系统复位后进入抢答状态,能显示犯规警报-(1) is used to contest a powerful four Responder (2) to answer in 20 seconds after the start of countdown, countdown display time-out after no one to answer in (3) ca
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:702854
    • 提供者:
  1. 1

    0下载:
  2. 四人抢答器,具有一次只识别一个输入信号(即抢答信号),相应之后,再有其他信号输入均不响应。-Four Responder, with time only recognize an input signal (that is, to answer in the signal), corresponding to it, one can not respond to other inputs.
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1053484
    • 提供者:朱婷婷
  1. qdq

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下 [qingdaqi.rar] - 四路抢答器,超时报警,提前抢答报警,计分等 -l, designed for race four Responder, functions as follows:
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1688
    • 提供者:杰克
  1. vhdlfourqiangda

    0下载:
  2. vhdl编写的四人抢答器编译后的完整的文件 有波形仿真等-vhdl Responder prepared four documents compiled a complete simulation of such a waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:257159
    • 提供者:蔡璐
  1. 四位抢答器

    0下载:
  2. 四路抢答器。首先通过主持人按下抢答按键代表抢答开始, 其他四人进行按键抢答,一个抢到后,其他人不可以进行操作。(Four way responder.First of all, through the moderator press answer button, on behalf of answer start, Other four people to answer the key, after a grab, other people can not operate.)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-22
    • 文件大小:290816
    • 提供者:专克
  1. qdq4

    0下载:
  2. 四人抢答器,ax516开发板完成功能,基于verilog hdl(Four person responder)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-02
    • 文件大小:4416512
    • 提供者:单独辅导
  1. vote_4

    0下载:
  2. 四人抢答器:这是一款四人抢答器。广泛用于各种各样的抢答环境,作用非常大,方便又便宜。(his is a four person responder. Widely used in a variety of answer environment, the role is very large, convenient and cheap.)
  3. 所属分类:网络编程

    • 发布日期:2018-01-03
    • 文件大小:158720
    • 提供者:逆晴
  1. Traffic light & Answering machine

    0下载:
  2. Project1工程文件是交通灯梯形图程序 Project173_Copy_1工程文件是四人抢答器梯形图程序 以上PLC工程是AB的plc,实验验证通过,西门子或者三菱的plc可以作为参考 梯形图程序是用AB提供的免费编程软件CCW编写(Project1 engineering document is a ladder diagram program for traffic lights The Project173_Copy_1 project document is a trapez
  3. 所属分类:其他

    • 发布日期:2018-04-21
    • 文件大小:1274880
    • 提供者:硅谷
  1. 抢答器

    1下载:
  2. 四人抢答器的设计 具有主持人复位功能; 两个四人抢答器可直联成八人抢答器; 数字显示选手编号:单机使用时,显示1-4号选手;联机测量时,显示1-8号选手。(Design of four-person answering device Has a moderator reset function; Two four-person responders can be directly connected into an eight-person responder; The number shows
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-07-02
    • 文件大小:96256
    • 提供者:soader
« 12 3 »
搜珍网 www.dssz.com