CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 外围电路

搜索资源列表

  1. atmeg128

    0下载:
  2. 基于AVRstudio平台对无线传感器编制的测试程序,可以很好的检测上面的单片机以及外围电路正常与否
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:12615
    • 提供者:祝建
  1. s3c44b0-tcpip

    1下载:
  2. 本源码包含s3c44b0开发板的所有外围电路源码,以及tcpip协议的移植代码(用的是uip0.9版本),直接可用(ads工程文件在uipapp文件夹中)
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2014-01-17
    • 文件大小:4447413
    • 提供者:zl
  1. Digital

    0下载:
  2. 数字频率计数器又称通用计数器,是电子测量领域中最常见的测量仪器之一。它可以测量正弦波的频率(周期),脉冲波的频率(周期),脉冲宽度等时间参数。在通信,电子等领域中有广泛的应用。本文对传统的测频方法中存 在的精度低的问题进行了分析:数字频率计数器在测量高频信号时能够达到足够高的测量精度,但在测低频信号时,其测量结果的有效位数将会减少,精度也会相应的降低。在此基础上提出了一种基于单片机的等精度测频率,测周期,测脉冲宽度的实现方法。介绍了单片机的内部计数器与程序的运算功能进行等精度测量方法的实现。给出
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:21406
    • 提供者:高文斌
  1. wannianlidesheji

    1下载:
  2. 实用数字万年历设计:该系统的设计电路是以 AT89S52 单片机为核心控制器,其外围电路主要包括时钟模块,键盘模块,液晶模块, 闹钟模块和与PC 机通信模块等。这种电子时钟不仅具有了一般电子时钟的基本功能,并且具有以下功能:闹 钟时间设置,闹钟音乐选择,显示年月日与星期,显示农历,通过PC 机在Internet 上同步时间,与PC 通信时 PC 机上会显示友好界面等一系列功能。整个系统使用单片机C51 语言进行编程,PC 机上的通信界面有VB 编 程,实现其设计的各种功能。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:516538
    • 提供者:禹佳发
  1. digital_cymometer

    1下载:
  2. 简易数字频率计利用复杂可编程逻辑器件FPGA,VHDL编程将所有功能模块集成在一块芯片上。功能模块包括时基脉冲发生器、计数器、数据锁存器和显示电路4部分。设计时先分别设计各功能模块,并调试得到正确仿真结果,然后将各个功能模块组合起来。最后作整体仿真、下载,得到实物。由于采用纯数字硬件设计制作,稳定性、可靠性远远高于使用单片机或模拟方式实现的系统,外围电路简单。该数字频率计达到预期要求,实现了可变量程测量,测量范围0.1Hz—9999MHz,精度可达0.1Hz。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-17
    • 文件大小:412830
    • 提供者:严术骞
  1. LEDdianzhengxianshi

    0下载:
  2. 本点阵电子显示屏系统以STC89C52单片机作为控制核心,其外围电路包括存储器扩展模块、LED显示电路模块、驱动电路模块、PC通信电路模块。其中,控制芯片的行驱动用2个74LS138构成4-16译码器,采用1/16扫描方式。列驱动采用74HC595驱动,一个汉字用2个74HC595控制。系统同时扩展了SRAM芯片6116和Flash ROM 29C020芯片, 系统控制过程中,可以满足大容量字模的运算,采用Flash ROM进行汉字掉电保护,通过单片机修改显示内容。并详细介绍了在硬件和软件上的实
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:5655
    • 提供者:杨志亮
  1. THEI2C-BUSSPECIFICATION

    0下载:
  2. The I2C-bus specification 由于大规模集成电路技术的发展,在单个芯片集成CPU以及组成一个单独工作系统所必须的ROM、RAM、I/O端口、A/D、D/A等外围电路和已经实现,这就是常说的单片机或微控制器。目前,世界上许多公司生产单片机,品种很多:包括各种字长的CPU,各种容量和品种的ROM、RAM,以及功能各异的I/O等等。但是,单片机品种规格有限,所以只能选用某种单片机再进行扩展。扩展的方法有两种:一种是并行总线,另一种是串行总线。由于串行总线连线少,结构简单,往往
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:782521
    • 提供者:szsunliqian
  1. Keil+proteus

    0下载:
  2. Proteus6.7是目前最好的模拟单片机外围器件的工具,真的很不错。可以仿真51系列、AVR,PIC等常用的MCU及其外围电路(如LCD,RAM,ROM,键盘,马达,LED,AD/DA,部分SPI器件,部分IIC器件,...) 其实proteus与multisim比较类似,只不过它可以仿真MCU!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:22616049
    • 提供者:罗晟
  1. ATT7022B

    0下载:
  2. 一款计量芯片的应用笔记,包括外围电路说明和软件校表方法介绍!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1009476
    • 提供者:李孟玮
  1. mcu

    1下载:
  2. 单片机外围电路设计.rar PDF格式的
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:6749551
    • 提供者:bing
  1. arm9_keyboard

    0下载:
  2. 这是一个自己开发的键盘驱动代码,是arm9-s3c2410的外围电路,原理图迟点附上
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1059151
    • 提供者:张小伟
  1. JIAOTONG

    0下载:
  2. 交通红绿灯控制程序,51单片机,加上外围电路可使用
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1395
    • 提供者:池晓
  1. 89c51

    0下载:
  2. 使用c编写的一套温控程序,可是通过外部调节来设置上限温度,和下限温度,在正常的范围内,有正常指示灯指示,当超过上限时,超上限温度指示灯指示,当低于下限时,下限温度指示灯指示,也可以通过外围电路连接其他的报警信号,其信号输出已经产生,具体的使用要看你的应用了.
  3. 所属分类:其它

    • 发布日期:2014-01-20
    • 文件大小:133900
    • 提供者:lei
  1. 3wave

    0下载:
  2. 本程序主要用于三相电的仿真中,程序通过不同时间的中断控制,实现了类似三相电的波形,但是方波,外围电路通过波形处理,可实现三相电的波形发生器.
  3. 所属分类:其它

    • 发布日期:2014-01-20
    • 文件大小:32433
    • 提供者:lei
  1. saa7113

    1下载:
  2. saa7113视频解码芯片外围电路设计原理图,可供大家参考设计
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:17776
    • 提供者:穆垚
  1. LPC2210_sch

    0下载:
  2. LPC2210的系统电路图,看了它可以了解2210系统的外围电路
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:156361
    • 提供者:liwei
  1. C2000-desgin

    0下载:
  2. C2000 系统设计(硬件部分),包括电源、AD等外围电路,个人认为不错
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:926809
    • 提供者:huangya
  1. DA667

    0下载:
  2. AD667外围电路简单的12位高性能数模转换
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:566951
    • 提供者:wangkai
  1. DTXS

    0下载:
  2. Verilog HDL编写的四位数码管动态显示程序,外围电路用CPLD来实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:852
    • 提供者:yangytp
  1. danpianjizuixiaoxitong

    0下载:
  2. 单片机最小系统的运用以及外围电路的设计的开发方法
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:144583
    • 提供者:rockyta
« 1 2 3 45 6 7 8 9 10 ... 24 »
搜珍网 www.dssz.com