CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 开方

搜索资源列表

  1. _itlearneraspv1.1.rar

    0下载:
  2. ITlearner ASP探针 测试服务器基本信息: 显示服务器的一些参数,并带遍历Application、Session和服务器参数的功能。       测试服务器组件情况: 测试IIS自带,常用文件上传、收发邮件、图像处理等组件,和自定义组件的支持情况。       测试服务器运算能力: 让服务器执行50万次加法(整数运算)和20万次开方(浮点运算),记录其所使用的时间。       测试服务器磁盘信息: 服务器各硬盘的空间使用情况,当
  3. 所属分类:弱点检测代码

    • 发布日期:
    • 文件大小:8992
    • 提供者:
  1. 开方

    0下载:
  2. 基本的开方的小程序,学习时所写,算不的好,是本人学习汇编的习作,请多指教。-basic prescribing of small programs, written study, it is not good, I study is a compilation of the exercises, please enlighten.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:39659
    • 提供者:丁宇
  1. 树式除法型开方器VERILOG实现

    3下载:
  2. 树式除法型开方器VERILOG实现,用于任意长度的无符号数的开方运算,Square root of the tree-type divider-type device to achieve VERILOG
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:636
    • 提供者:神气
  1. sqrtf.采用二分法计算正的浮点数开方的代码

    0下载:
  2. 这个函数是一段采用二分法计算正的浮点数开方的代码,采用C语言编写,在uClinux下编译通过并能正常运行。, This function is a section uses the dichotomy to calculate the floating number root the code, uses the C language compilation, translates under uClinux through and can the normal operation.
  3. 所属分类:嵌入式Linux

    • 发布日期:2017-11-08
    • 文件大小:837
    • 提供者:朱富毅
  1. c++源代码关于开方的

    0下载:
  2. 一个关于开方的c++源代码,自己没事写的,希望大家看看相互学习,On the prescr iption of a c++ source code that he wrote nothing, and hope that we see and learn from each other
  3. 所属分类:数学计算/工程计算

    • 发布日期:2017-03-24
    • 文件大小:11751
    • 提供者:wangyang
  1. kaifang.rar

    1下载:
  2. 使用FPGA实现16位开方运算。源码!调试通过,Prescribing the use of FPGA to achieve 16-bit computing. Source! Debugging by
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-22
    • 文件大小:914059
    • 提供者:马泽龙
  1. arithmetic

    0下载:
  2. AVR 单片机 16,32位四则运算,开方运算。-AVR 4-bit single-chip 16,32 computing, evolution computing.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:3157
    • 提供者:HuangMingShan
  1. Calculator

    0下载:
  2. 用java编写了一个计算器。可以进行简单的加减乘除运算,和开方运算。-Prepared a calculator with java. Can make simple calculation operation, and evolution computing.
  3. 所属分类:Other Games

    • 发布日期:2017-03-22
    • 文件大小:1343
    • 提供者:xiangxiang
  1. sqrt

    0下载:
  2. 平方根算法的硬件描述语言,算法运行速度快,10位二进制数的开方只需要10个时钟周期-Square root algorithm for hardware descr iption language, the algorithm is fast, 10-bit binary number square root only 10 clock cycles
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2034
    • 提供者:Olive Green
  1. sqrt

    0下载:
  2. 实现任意位数的开方算法,但是不是浮点的算法,-Square root algorithm for arbitrary digit, but not floating-point algorithm, thanks
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:553
    • 提供者:lty
  1. 32Open_uadri

    0下载:
  2. 在8位单片机中对32位数进行开方运算的汇编子程序-In 8-bit MCU in 32-digit prescr iption for computing the compilation of subroutines
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:997
    • 提供者:刘先生
  1. ref-sqroot

    0下载:
  2. 这是用于VHDL的开方运算,大家试试看,能不能好用-sqrt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:40170
    • 提供者:李刚
  1. isqrt

    0下载:
  2. 32位无符号整数开方得到16位无符号整数的算法及实现代码-32-bit unsigned integer prescr iption 16 bit unsigned integer code of the algorithm and the realization of A algorithm an emplimentation codes of computing the 16-bits square root result from a 32-bits unsigned integer
  3. 所属分类:Algorithm

    • 发布日期:2017-04-13
    • 文件大小:1538
    • 提供者:赵长风
  1. work16bit

    3下载:
  2. 使用CORDIC算法来实现开方运算,结果通过QUARTUS7.2仿真,精度较高-CORIDIC Algorithms uesd for sqrt.The result though the QUARTUS 2 7.2 soft.
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:954170
    • 提供者:叶敏
  1. sqrt_q15

    2下载:
  2. 采用泰勒级数展开法编写的定点化的开方运算,定点化精度为Q15-Taylor series expansion method used to prepare the prescr iption of the fixed-point computation, fixed-point precision for Q15
  3. 所属分类:Algorithm

    • 发布日期:2017-03-28
    • 文件大小:623
    • 提供者:姜莎
  1. cordic_sqrt_atan

    1下载:
  2. 利用cordic实现开方和atan运算的matlab源码。 本人原创,标准cordic算法。-calculate aquare root and atan by cordic. Matlab m language.
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:96281
    • 提供者:李林
  1. DesignofFloatingPointCalculatorBasedonFPGA

    0下载:
  2. 给出系统的整体框架设计和各模块的实现,包括芯片的选择、各模块之间的时序以及控制、每个运算模块详细的工作原理和算法设计流程;通过VHDL语言编程来实现浮点数的加减、乘除和开方等基本运算功能;在Xilinx ISE环境下,对系统的主要模块进行开发设计及功能仿真,验证 了基于FPGA的浮点运算。 -The overall framework of system design and realization of each module which contain selection of ch
  3. 所属分类:Project Design

    • 发布日期:2017-05-13
    • 文件大小:3488790
    • 提供者:mabeibei
  1. Kaifang

    0下载:
  2. 利用ISE编写的实现开方功能的verilog程序,利用了CORDICIP核,可以完成开方功能-Prepared using ISE verilog program to achieve prescribing functions, using the CORDICIP nuclear, prescribing functions to be completed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:421535
    • 提供者:蜡笔
  1. cordic

    3下载:
  2. CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法。 CORDIC算法,能够通过平移和累加快速实现基础的数学函数,包括三角函数,开方,指数,对数,平方根等函数。-CORDIC (Coordinate Rotation Digital Computer) algorithm for the coordinate rotation digital calculation. CORDIC algorithm can be achieved
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1376
    • 提供者:陈华
  1. cordic

    2下载:
  2. 基于verilog HDL的cordic算法FPGA实现。省去繁琐的乘法开方计算。IDE为vivado 2014( U57FA u4E8Everilog HDL u7684cordic u7B97 u6CD5FPGA u5B9E u73B0 u3002 u7B1 u53BB u7E1 u7410 u7684 u4E58 u6CD5 u5F00 u65B9 u8BA1 u7B97 u300BIDE u4E3Avivado 2014)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-01
    • 文件大小:97280
    • 提供者:涛2017777
« 12 3 4 5 6 7 8 9 10 ... 24 »
搜珍网 www.dssz.com