CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 数字时钟

搜索资源列表

  1. disp

    0下载:
  2. 可以计时,显示时间。这个程序使用10MHz的时钟信号转为1Hz和500Hz的信号作为输入,来驱动显示数码管时间的。(You can clock and display time.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:1024
    • 提供者:天快亮了
  1. time

    0下载:
  2. 单片机程序,数字时钟,时分秒均可调节,可一键暂停或启动或复位,带dsn设计图,可直接仿真。(Microcontroller programming, digital clock, minutes and seconds can be adjusted, can be a key to start or pause or reset, with dsn design, direct simulation.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-23
    • 文件大小:75776
    • 提供者:周少
  1. calendar

    0下载:
  2. 左边是日历,右边是数字时钟,可获取当前时间(The calendar is on the left, and the digital clock on the right is for the current time)
  3. 所属分类:其他

    • 发布日期:2017-12-30
    • 文件大小:14336
    • 提供者:kkkyyyrrr
  1. 8位数字显示的简易频率计

    1下载:
  2. (1)能够测试10HZ~10MHZ的方波信号; (2)电路输入的基准时钟为1HZ,要求测量值以8421BCD码形式输出; (3)系统有复位键; (4)采用分层次分模块的方法,用Verilog HDL进行设计,并对各个模块写出测试代码; (5)具体参照说明文档(包含源代码,仿真图,测试波形,详细的设计说明)(A square wave signal capable of testing 10HZ~10MHZ; (2) the reference clock input by the ci
  3. 所属分类:VHDL/FPGA/Verilog

  1. A4_Clock_Top

    0下载:
  2. 24小时制数字时钟,可自行调节时间,能暂停。(24 hours digital clock, can adjust time, can pause.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. shiyan

    0下载:
  2. 用verilog语言实现数字中, 在fpga上实现(Using Verilog language to achieve digital, implemented on FPGA)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:3103744
    • 提供者:米饭0315
  1. 电子时钟

    0下载:
  2. 51单片机控制DS1302实现数字万年历,可调整时间,日期等(Single chip calendar, adjustable time)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-28
    • 文件大小:175104
    • 提供者:苏大胡工
  1. Clock

    0下载:
  2. 模拟时钟 时钟表面 数字时钟 用数学方法显示表面 通过mfc完成(clock make a clock which can show what time is it now)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-26
    • 文件大小:2414592
    • 提供者:axiom
  1. EDAmathclock

    0下载:
  2. 用EDA软件实现一个基于单片机的数字时钟,能显示时分秒能复位,语言是C语言(Based on the realization of a single-chip digital clock using EDA software can display minutes and seconds to reset, language is C)
  3. 所属分类:其他

    • 发布日期:2017-12-30
    • 文件大小:7546880
    • 提供者:阿璃
  1. zhong

    0下载:
  2. 多功能时钟界面显示,包括圆形,数字时钟等适用Linux初学者(Multi function clock interface display, including round, digital clock, etc., suitable for beginners Linux)
  3. 所属分类:Linux/Unix编程

    • 发布日期:2017-12-30
    • 文件大小:19456
    • 提供者:玄蟾
  1. js实现的仿LED数字电子时钟效果

    0下载:
  2. js实现的仿LED数字电子时钟效果,可以摘要一部分代码(JS implementation of the imitation LED digital electronic clock effect)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:8192
    • 提供者:jonas1
  1. Clock_Synchronization_Module

    1下载:
  2. 数字接收机中频部分数字时钟的设计 包括matlab仿真 verilog代码、 testbench代码 以及word设计文档(Design of medium frequency digital clock in digital receiver Including Matlab simulation Verilog, testbench code, and design documents)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:245760
    • 提供者:nokkk
  1. 跨时钟域的设计

    0下载:
  2. 在数字电路设计当中,通常会有多个时钟域,所以会涉及到跨时钟域处理的问题(In digital circuit design, usually there will be multiple clock domains, so it will involve cross-clock domain processing issues)
  3. 所属分类:其他

    • 发布日期:2018-01-08
    • 文件大小:8094720
    • 提供者:拓天疆
  1. 时钟

    0下载:
  2. mfc实现钟表指针时间走动,与下方数字时钟同步,右边日历同步(MFC implements the timeout of the clock pointer, synchronized with the lower digital clock, and the calendar on the right.)
  3. 所属分类:Windows编程

    • 发布日期:2018-01-09
    • 文件大小:2408448
    • 提供者:小烂云
  1. 1

    0下载:
  2. 设计一个表示分数的类Fraction。这个类用两个int类型的变量分别表示分子和分母。 这个类的构造函数是: Fraction(int a, int b) 构造一个a/b的分数。 这个类要提供以下的功能: double toDouble(); 将分数转换为double Fraction plus(Fraction r); 将自己的分数和r的分数相加,产生一个新的Fraction的对象。Fraction multiply(Fraction r); 将自己的分数
  3. 所属分类:其他

    • 发布日期:2018-04-19
    • 文件大小:1024
    • 提供者:沉合
  1. shuzhizhong (1)

    1下载:
  2. 数字时钟的FPGA设计,对学习FPGA有很大的帮助,希望大家能采纳(FPGA design of digital clock has great help for learning FPGA. I hope everyone can adopt it.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-18
    • 文件大小:177152
    • 提供者:小艾525
  1. 单片机电子时钟设计

    0下载:
  2. 单片微型计算机简称单片机,又称为微控制器,是将CPU、RAM、ROM、定时/计数器、I/O接口电路集成到一块电路芯片上构成的微型计算机。本次设计的系统由单片机系统、数码管显示系统、键盘、蜂鸣器等组成,通过按键来控制单片机实现数字时钟的时、分、秒显示,12、24制转换,设定时间、闹钟等不同功能,并通过P0口的输出在LED上显示。该设计具有结构简单、使用方便等特点。(As the single chip computer, also known as micro controller, CPU, R
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-02
    • 文件大小:1430528
    • 提供者:leo510
  1. FPGA_实时时钟设计

    0下载:
  2. 通过配置DS1302芯片来实现实时时钟的监测,我们通过通过控制2个按键来选择我们要在数码管上显示的时间,按下按键1我们来显示周几,按下按键2来显示年月日,不按显示时分秒,这样显示复合我们的数字表的显示(By configuring DS1302 chip to monitor the real-time clock, we select the time that we want to display on the digital tube by controlling 2 keys. Pres
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:356352
    • 提供者:硅渣渣
  1. 电子时钟

    0下载:
  2. 基于DE2-115的数字时钟 1.液晶显示,数码管显示 2.整点报时 3.闹钟 4.设置时间 5.设置闹钟(Digital clock based on DE2-115 1. LCD display, digital tube display 2. whole point 3. alarm clock 4. setting time 5. set the alarm clock)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-01-10
    • 文件大小:4921344
    • 提供者:AZ1111
  1. Clock

    1下载:
  2. 本设计实现了一种基于FPGA的数字时钟设计,应用Verilog硬件描述语言进行数字电路设计,采用自顶向下的方法将电路系统逐层分解细化,设计数字时钟总体结构、各模块及相应具体电路。在Quartus II 9.0工具软件环境下编译、仿真。最后下载到FPGA实验平台进行测试。本数字时钟具有显示时间、通过按键校准时间、整点报时等功能。(This design realizes a digital clock design based on FPGA, uses the Verilog hardware
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-11-22
    • 文件大小:3836928
    • 提供者:威威谈谈
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com