CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数字频率计

搜索资源列表

  1. VerilogHDL_counter

    0下载:
  2. 采用Verilog HDL语言编写的数字频率计,被测波形分别为方波、三角波和正弦波;采用6个数码管显示结果,三档量程可调,工程价值很高,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:1252536
    • 提供者:廖耿耿
  1. shzipinglvji

    1下载:
  2. 用单片机程序实现数字频率计的功能含有原理说明及图
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:147265
    • 提供者:zj
  1. FR

    1下载:
  2. 基于FPGA的数字频率计的设计,可测量从1hz到10000hz,误差在1hz以内,是EDA课程学习很好的实例。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1172
    • 提供者:彭得
  1. pinlvji

    0下载:
  2. 课程设计-数字频率计 能够很好实现频率计功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4824
    • 提供者:洋气
  1. shuzipinglvji

    0下载:
  2. 数字频率计的程序,通过汇编语言来编写,并加了很多注释语句,对有点汇编经验的人来说,看懂不是难事。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4631
    • 提供者:
  1. EDAdesign(3)

    0下载:
  2. 该文件中是关于一些VHDL许多编程实例以及源码分析,希望对VHDL爱好者有用。卷3包括车载DVD位控系统、直接数字频率合成器、图像边缘检测器、等精度数字频率计、出租车计费系统的设计与分析
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4393076
    • 提供者:shengm1
  1. testctl

    0下载:
  2. 本程序实现了一个数字频率计。它由一个测频控制信号发生器TESTCTL,8个有时钟的十进制计数器CNT10,一个32位锁存器REG32B组成。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1130
    • 提供者:liushenshen
  1. VHDL

    1下载:
  2. 用VHDL实现数字频率计,1. 时基产生与测频时序控制电路模块2. 待测信号脉冲计数电路模块3.锁存与译码显示控制电路模块4.顶层电路模块.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13307
    • 提供者:侯治强
  1. thefrequencymeterdesign

    0下载:
  2. 简易数字频率计题解.( 1997年 B 题 ) 编写与讲解人:田良(东南大学无线电系,2003年3月12日) 一)任务 设计并制作一台数字显示的简易频率计。 (二)要求 1.基本要求 (1)频率测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测试误差≤0.1% (2)周期测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:356317
    • 提供者:caorui
  1. te187

    0下载:
  2. 基于高速串行BCD 码除法的数字频率计的设计
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:209495
    • 提供者:张贺寅
  1. frq

    0下载:
  2. 这是我课程设计做的数字频率计的设计,不知道会不会太简单或者重复了。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:302765
    • 提供者:张云隆
  1. pinlvji

    0下载:
  2. 简单的数字频率计,source为输入,可以测量其频率,在maxplux中使用,需要标准的1hz时钟信号。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5983
    • 提供者:xzy
  1. frequency

    0下载:
  2. 6位数码管显示的数字频率计,测量范围可达65KMz,测量误差小。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1059
    • 提供者:陈子涵
  1. frequence

    0下载:
  2. 基于FPGA设计的数字频率计,用VHDL写的代码。。。。有6各模块
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2391
    • 提供者:张新福
  1. 82

    0下载:
  2. ----用AT89C51制作八位数字频率计----完整程序清单,2003年全国大学生比赛项目!
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1291
    • 提供者:weiwu365
  1. freq

    0下载:
  2. 实验四 频率计 实验要求:设计一个有效位为4位的十进制的数字频率计。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:136716
    • 提供者:朱伟成
  1. datacont

    0下载:
  2. 使用vriloge硬件描述语言设计数字频率计,其对于高频测量精确,可测范围0—99999999HZ,在MAX+PLUSII中运行通过并在实验箱上运行通过达到要求
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:323811
    • 提供者:王思
  1. frequently_used_source_code

    3下载:
  2. 单片机方面大量常用优秀源代码和说明 ACM-12864汉字液晶显示驱动程序 Ad_da转换 ADC0809 adc2051 AD转换类 C51_table DES算法演示 I2c总线 IIC LED显示 编程规范与范例 步进电机 查表 串行通信 打印 代码运算类 代码转换 单片机经验谈 单片机直接驱动液晶显示 电机pwm控制 电机控制 定浮点子程序库 定时与中断 二进制数运算 汇编与c的衔接
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2496671
    • 提供者:何小克
  1. BasedonVHDLdesigndigitalfrequencyof

    0下载:
  2. 本文用VHDL在CPLD器件上实现一种8 b数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。具有体积小、可靠性高、功耗低的特点。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:224979
    • 提供者:xiaoju
  1. pinglvji

    0下载:
  2. 51单片机开发的等精度数字频率计,实现计数0-
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9621
    • 提供者:丁佳
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 27 »
搜珍网 www.dssz.com