CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数码管动态扫描显示

搜索资源列表

  1. 44clock

    0下载:
  2. 可以用4*4矩阵键盘调整的数字钟,通过动态扫描显示在四位数码管上。
  3. 所属分类:其他数据库

    • 发布日期:2008-10-13
    • 文件大小:864
    • 提供者:梁磊
  1. jianpanxianshi

    0下载:
  2. 键盘与显示 4*4键盘 8个数码管轮流扫描作动态显示 硬件电路需要74LS138 cd451
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1959
    • 提供者:zengxiaoqiang
  1. 1

    0下载:
  2. 显示与键盘实验数码管动态显示的原理,掌握8段数码关显示驱动控制方法;小键盘扫描原理,掌握键盘扫描驱动控制方法;键盘与数码关显示综合控制方法,有流程图报告和代码。-Display and keyboard digital tube experiments showed that the principle of dynamic master 8 digital clearance display driver control methods small keyboard scanning pri
  3. 所属分类:assembly language

    • 发布日期:2017-04-16
    • 文件大小:340463
    • 提供者:neo knuth
  1. Hexp10

    0下载:
  2. 这是一个扫描数码管动态显示程序,对初学者有很好得帮助-This is a dynamic display LED scanning procedures to help beginners get a good
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:945
    • 提供者:张菲菲
  1. digital_clock_51

    0下载:
  2. 用89S52单片机控制内部饿定时器实现数字钟。用数码管的动态扫描显示-89S52 internal control using single-chip digital clock timer hungry. Digital control of dynamic scan shows
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:11781
    • 提供者:刘海
  1. shumaguan

    0下载:
  2. 数码管动态显示,数码管扫描函数,包括控制数码管的位选-Dynamic display of digital control, digital control scanning functions, including control of the election-bit digital tube
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-11
    • 文件大小:544
    • 提供者:allen
  1. scanning

    0下载:
  2. (1. 动态扫描方法 动态接口采用各数码管循环轮流显示的方法,当循环显示频率较高时,利用人眼的暂留特性,看不出闪烁显示现象,这种显示需要一个接口完成字形码的输出(字形选择),另一接口完成各数码管的轮流点亮(数位选择)。 (2. 在进行数码显示的时候,要对显示单元开辟8个显示缓冲区,每个显示缓冲区装有显示的不同数据即可。 (3. 对于显示的字形码数据我们采用查表方法来完成。 -(1. Dynamic Dynamic interface scanning method use
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:95040
    • 提供者:wu
  1. huangshan

    0下载:
  2. 数码管的动态扫描显示,动态扫描节省更多的空间,效率更高-Digital control of dynamic scan shows the dynamic scans to save more space, more efficient
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:241789
    • 提供者:安徽省
  1. DigitalClock

    0下载:
  2. 基于FPGA的数字电子钟设计,系统总程序由分频模块、“时分秒”计数器模块、数据选择模块、报时模块、动态扫描显示和译码模块组成。得到一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外有校时、校分和整点报时功能,并通过数码管驱动电路显示计时结果。-FPGA-based design of digital electronic clock, the system program by the total frequency modul
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:63477
    • 提供者:sunnan
  1. LESSON4

    0下载:
  2. 动态显示的特点是将所有位数码管的段选线并联在一起,由位选线控制是哪一位数码管有效。这样一来,就没有必要每一位数码管配一个锁存器,从而大大地简化了硬件电路。选亮数码管采用动态扫描显示。所谓动态扫描显示即轮流向各位数码管送出字形码和相应的位选,利用发光管的余辉和人眼视觉暂留作用,使人的感觉好像各位数码管同时都在显示。动态显示的亮度比静态显示要差一些,所以在选择限流电阻时应略小于静态显示电路中的。 -Dynamic display features all the bits of digital
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:243336
    • 提供者:小北
  1. sd

    0下载:
  2. 通过动态扫描方式和定时器,实现数码管和LED显示功能-By dynamic scanning mode and timer, Implementation of digital control and LED display
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:689
    • 提供者:feel
  1. DG00A

    0下载:
  2. 试验程序:数码管扫描显示(C),实现数码管的动态扫描显示-Test procedure: Digital control scan shows (C), to achieve digital control of dynamic scanning display
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:14337
    • 提供者:wuxiukun
  1. msp430F135LED

    0下载:
  2. msp430F135LED动态显示,在8位数码管显示模块)动态扫描显示4321,时钟ACLK= n/a, MCLK= SMCLK= default DCO ~ 800k-msp430F135LED dynamic display, the 8-bit digital display module) dynamic scan showed 4321, the clock ACLK = n/a, MCLK = SMCLK = default DCO ~ 800k
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:20053
    • 提供者:王峰
  1. STC89C52RC-DEMO

    0下载:
  2. 压缩包里是我买51学习板时赠送的程序(所用芯片是:STC89C52RC).里面包含IO口输入输出、流水灯、静态动态数码管显示、按键扫描、定时器、中断、PWM、EEPROM24c02、红外解码数码管显示、无源蜂鸣器、与电脑串口通信、电子温度计、1602液晶屏显示等演示程序.对初学51单片机的朋友很有帮助-Compression bag that I bought 51 boards to learn the procedures presented (the chip is: STC89C52RC
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:481651
    • 提供者:lxf
  1. seg71

    0下载:
  2. 7段数码管测试实验1:以动态扫描方式在8位数码管“同时”显示0--7 实验的目的是向用户介绍多个数码管动态显示的方法。 动态显示的方法是,按一定的频率轮流向各个数码管的COM端送出低电平,同时送出对应的数据给各段。-7-segment test experiment 1: 8-bit dynamic digital scanning mode in the pipe " while" display 0- 7 experiment is introduced to th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:801
    • 提供者:zhangqiang
  1. seg71

    0下载:
  2. 7段数码管测试实验1:以动态扫描方式在8位数码管“同时”显示0--7 实验的目的是向用户介绍多个数码管动态显示的方法。 动态显示的方法是,按一定的频率轮流向各个数码管的COM端送出低电平,同时送出对应的数据给各段。-7-segment test experiment 1: 8-bit dynamic digital scanning mode in the pipe " while" display 0- 7 experiment is introduced to th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:802
    • 提供者:riversky
  1. LED

    1下载:
  2. 999 .asm 为0-999 的计数器,腾龙版,倚天版直接使用 999 B.asm 为0-999999的计数器的另一种形式,腾龙版,倚天版直接使用 clock.asm 为六位数码管时钟程序,腾龙版,倚天版直接使用,p3.2控制. clockok.asm 为 六位数码管时钟程序,腾龙版,倚天版直接使用,p3.2,p3.3,p3.4,p3.5 四键控制!转载自 无线电杂志2003年第10期。强烈推荐,实测24小时误差5秒。详细说明见无线电杂志 2003年第10期(注意,有少
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:15006
    • 提供者:mzfmt
  1. shizhong

    0下载:
  2. 用8位数码管显示时钟的时分秒,属于动态扫描显示。-8-bit digital display clock, minute and second, is the dynamic scan display.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:11963
    • 提供者:林典伟
  1. VHDL-3BCD

    0下载:
  2. 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55898
    • 提供者:will li
  1. seg71

    0下载:
  2. 7段数码管测试实验1:以动态扫描方式在8位数码管“同时”显示0--7 实验的目的是向用户介绍多个数码管动态显示的方法。 动态显示的方法是,按一定的频率轮流向各个数码管的COM端送出低电平,同时送出对应的数据给各段。-7-segment test experiment 1: a dynamic scan mode in the 8-bit digital control, " while" display 0- 7 experiment was designed to i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:142647
    • 提供者:孔小妹
« 1 2 3 4 5 67 8 9 10 11 ... 15 »
搜珍网 www.dssz.com